Runtime Complexity TRS:
The TRS R consists of the following rules:

a__U11(tt, N, XS) → a__U12(tt, N, XS)
a__U12(tt, N, XS) → a__snd(a__splitAt(mark(N), mark(XS)))
a__U21(tt, X) → a__U22(tt, X)
a__U22(tt, X) → mark(X)
a__U31(tt, N) → a__U32(tt, N)
a__U32(tt, N) → mark(N)
a__U41(tt, N, XS) → a__U42(tt, N, XS)
a__U42(tt, N, XS) → a__head(a__afterNth(mark(N), mark(XS)))
a__U51(tt, Y) → a__U52(tt, Y)
a__U52(tt, Y) → mark(Y)
a__U61(tt, N, X, XS) → a__U62(tt, N, X, XS)
a__U62(tt, N, X, XS) → a__U63(tt, N, X, XS)
a__U63(tt, N, X, XS) → a__U64(a__splitAt(mark(N), mark(XS)), X)
a__U64(pair(YS, ZS), X) → pair(cons(mark(X), YS), mark(ZS))
a__U71(tt, XS) → a__U72(tt, XS)
a__U72(tt, XS) → mark(XS)
a__U81(tt, N, XS) → a__U82(tt, N, XS)
a__U82(tt, N, XS) → a__fst(a__splitAt(mark(N), mark(XS)))
a__afterNth(N, XS) → a__U11(tt, N, XS)
a__fst(pair(X, Y)) → a__U21(tt, X)
a__head(cons(N, XS)) → a__U31(tt, N)
a__natsFrom(N) → cons(mark(N), natsFrom(s(N)))
a__sel(N, XS) → a__U41(tt, N, XS)
a__snd(pair(X, Y)) → a__U51(tt, Y)
a__splitAt(0, XS) → pair(nil, mark(XS))
a__splitAt(s(N), cons(X, XS)) → a__U61(tt, N, X, XS)
a__tail(cons(N, XS)) → a__U71(tt, XS)
a__take(N, XS) → a__U81(tt, N, XS)
mark(U11(X1, X2, X3)) → a__U11(mark(X1), X2, X3)
mark(U12(X1, X2, X3)) → a__U12(mark(X1), X2, X3)
mark(snd(X)) → a__snd(mark(X))
mark(splitAt(X1, X2)) → a__splitAt(mark(X1), mark(X2))
mark(U21(X1, X2)) → a__U21(mark(X1), X2)
mark(U22(X1, X2)) → a__U22(mark(X1), X2)
mark(U31(X1, X2)) → a__U31(mark(X1), X2)
mark(U32(X1, X2)) → a__U32(mark(X1), X2)
mark(U41(X1, X2, X3)) → a__U41(mark(X1), X2, X3)
mark(U42(X1, X2, X3)) → a__U42(mark(X1), X2, X3)
mark(head(X)) → a__head(mark(X))
mark(afterNth(X1, X2)) → a__afterNth(mark(X1), mark(X2))
mark(U51(X1, X2)) → a__U51(mark(X1), X2)
mark(U52(X1, X2)) → a__U52(mark(X1), X2)
mark(U61(X1, X2, X3, X4)) → a__U61(mark(X1), X2, X3, X4)
mark(U62(X1, X2, X3, X4)) → a__U62(mark(X1), X2, X3, X4)
mark(U63(X1, X2, X3, X4)) → a__U63(mark(X1), X2, X3, X4)
mark(U64(X1, X2)) → a__U64(mark(X1), X2)
mark(U71(X1, X2)) → a__U71(mark(X1), X2)
mark(U72(X1, X2)) → a__U72(mark(X1), X2)
mark(U81(X1, X2, X3)) → a__U81(mark(X1), X2, X3)
mark(U82(X1, X2, X3)) → a__U82(mark(X1), X2, X3)
mark(fst(X)) → a__fst(mark(X))
mark(natsFrom(X)) → a__natsFrom(mark(X))
mark(sel(X1, X2)) → a__sel(mark(X1), mark(X2))
mark(tail(X)) → a__tail(mark(X))
mark(take(X1, X2)) → a__take(mark(X1), mark(X2))
mark(tt) → tt
mark(pair(X1, X2)) → pair(mark(X1), mark(X2))
mark(cons(X1, X2)) → cons(mark(X1), X2)
mark(s(X)) → s(mark(X))
mark(0) → 0
mark(nil) → nil
a__U11(X1, X2, X3) → U11(X1, X2, X3)
a__U12(X1, X2, X3) → U12(X1, X2, X3)
a__snd(X) → snd(X)
a__splitAt(X1, X2) → splitAt(X1, X2)
a__U21(X1, X2) → U21(X1, X2)
a__U22(X1, X2) → U22(X1, X2)
a__U31(X1, X2) → U31(X1, X2)
a__U32(X1, X2) → U32(X1, X2)
a__U41(X1, X2, X3) → U41(X1, X2, X3)
a__U42(X1, X2, X3) → U42(X1, X2, X3)
a__head(X) → head(X)
a__afterNth(X1, X2) → afterNth(X1, X2)
a__U51(X1, X2) → U51(X1, X2)
a__U52(X1, X2) → U52(X1, X2)
a__U61(X1, X2, X3, X4) → U61(X1, X2, X3, X4)
a__U62(X1, X2, X3, X4) → U62(X1, X2, X3, X4)
a__U63(X1, X2, X3, X4) → U63(X1, X2, X3, X4)
a__U64(X1, X2) → U64(X1, X2)
a__U71(X1, X2) → U71(X1, X2)
a__U72(X1, X2) → U72(X1, X2)
a__U81(X1, X2, X3) → U81(X1, X2, X3)
a__U82(X1, X2, X3) → U82(X1, X2, X3)
a__fst(X) → fst(X)
a__natsFrom(X) → natsFrom(X)
a__sel(X1, X2) → sel(X1, X2)
a__tail(X) → tail(X)
a__take(X1, X2) → take(X1, X2)

Rewrite Strategy: INNERMOST


Renamed function symbols to avoid clashes with predefined symbol.


Runtime Complexity TRS:
The TRS R consists of the following rules:


a__U11'(tt', N, XS) → a__U12'(tt', N, XS)
a__U12'(tt', N, XS) → a__snd'(a__splitAt'(mark'(N), mark'(XS)))
a__U21'(tt', X) → a__U22'(tt', X)
a__U22'(tt', X) → mark'(X)
a__U31'(tt', N) → a__U32'(tt', N)
a__U32'(tt', N) → mark'(N)
a__U41'(tt', N, XS) → a__U42'(tt', N, XS)
a__U42'(tt', N, XS) → a__head'(a__afterNth'(mark'(N), mark'(XS)))
a__U51'(tt', Y) → a__U52'(tt', Y)
a__U52'(tt', Y) → mark'(Y)
a__U61'(tt', N, X, XS) → a__U62'(tt', N, X, XS)
a__U62'(tt', N, X, XS) → a__U63'(tt', N, X, XS)
a__U63'(tt', N, X, XS) → a__U64'(a__splitAt'(mark'(N), mark'(XS)), X)
a__U64'(pair'(YS, ZS), X) → pair'(cons'(mark'(X), YS), mark'(ZS))
a__U71'(tt', XS) → a__U72'(tt', XS)
a__U72'(tt', XS) → mark'(XS)
a__U81'(tt', N, XS) → a__U82'(tt', N, XS)
a__U82'(tt', N, XS) → a__fst'(a__splitAt'(mark'(N), mark'(XS)))
a__afterNth'(N, XS) → a__U11'(tt', N, XS)
a__fst'(pair'(X, Y)) → a__U21'(tt', X)
a__head'(cons'(N, XS)) → a__U31'(tt', N)
a__natsFrom'(N) → cons'(mark'(N), natsFrom'(s'(N)))
a__sel'(N, XS) → a__U41'(tt', N, XS)
a__snd'(pair'(X, Y)) → a__U51'(tt', Y)
a__splitAt'(0', XS) → pair'(nil', mark'(XS))
a__splitAt'(s'(N), cons'(X, XS)) → a__U61'(tt', N, X, XS)
a__tail'(cons'(N, XS)) → a__U71'(tt', XS)
a__take'(N, XS) → a__U81'(tt', N, XS)
mark'(U11'(X1, X2, X3)) → a__U11'(mark'(X1), X2, X3)
mark'(U12'(X1, X2, X3)) → a__U12'(mark'(X1), X2, X3)
mark'(snd'(X)) → a__snd'(mark'(X))
mark'(splitAt'(X1, X2)) → a__splitAt'(mark'(X1), mark'(X2))
mark'(U21'(X1, X2)) → a__U21'(mark'(X1), X2)
mark'(U22'(X1, X2)) → a__U22'(mark'(X1), X2)
mark'(U31'(X1, X2)) → a__U31'(mark'(X1), X2)
mark'(U32'(X1, X2)) → a__U32'(mark'(X1), X2)
mark'(U41'(X1, X2, X3)) → a__U41'(mark'(X1), X2, X3)
mark'(U42'(X1, X2, X3)) → a__U42'(mark'(X1), X2, X3)
mark'(head'(X)) → a__head'(mark'(X))
mark'(afterNth'(X1, X2)) → a__afterNth'(mark'(X1), mark'(X2))
mark'(U51'(X1, X2)) → a__U51'(mark'(X1), X2)
mark'(U52'(X1, X2)) → a__U52'(mark'(X1), X2)
mark'(U61'(X1, X2, X3, X4)) → a__U61'(mark'(X1), X2, X3, X4)
mark'(U62'(X1, X2, X3, X4)) → a__U62'(mark'(X1), X2, X3, X4)
mark'(U63'(X1, X2, X3, X4)) → a__U63'(mark'(X1), X2, X3, X4)
mark'(U64'(X1, X2)) → a__U64'(mark'(X1), X2)
mark'(U71'(X1, X2)) → a__U71'(mark'(X1), X2)
mark'(U72'(X1, X2)) → a__U72'(mark'(X1), X2)
mark'(U81'(X1, X2, X3)) → a__U81'(mark'(X1), X2, X3)
mark'(U82'(X1, X2, X3)) → a__U82'(mark'(X1), X2, X3)
mark'(fst'(X)) → a__fst'(mark'(X))
mark'(natsFrom'(X)) → a__natsFrom'(mark'(X))
mark'(sel'(X1, X2)) → a__sel'(mark'(X1), mark'(X2))
mark'(tail'(X)) → a__tail'(mark'(X))
mark'(take'(X1, X2)) → a__take'(mark'(X1), mark'(X2))
mark'(tt') → tt'
mark'(pair'(X1, X2)) → pair'(mark'(X1), mark'(X2))
mark'(cons'(X1, X2)) → cons'(mark'(X1), X2)
mark'(s'(X)) → s'(mark'(X))
mark'(0') → 0'
mark'(nil') → nil'
a__U11'(X1, X2, X3) → U11'(X1, X2, X3)
a__U12'(X1, X2, X3) → U12'(X1, X2, X3)
a__snd'(X) → snd'(X)
a__splitAt'(X1, X2) → splitAt'(X1, X2)
a__U21'(X1, X2) → U21'(X1, X2)
a__U22'(X1, X2) → U22'(X1, X2)
a__U31'(X1, X2) → U31'(X1, X2)
a__U32'(X1, X2) → U32'(X1, X2)
a__U41'(X1, X2, X3) → U41'(X1, X2, X3)
a__U42'(X1, X2, X3) → U42'(X1, X2, X3)
a__head'(X) → head'(X)
a__afterNth'(X1, X2) → afterNth'(X1, X2)
a__U51'(X1, X2) → U51'(X1, X2)
a__U52'(X1, X2) → U52'(X1, X2)
a__U61'(X1, X2, X3, X4) → U61'(X1, X2, X3, X4)
a__U62'(X1, X2, X3, X4) → U62'(X1, X2, X3, X4)
a__U63'(X1, X2, X3, X4) → U63'(X1, X2, X3, X4)
a__U64'(X1, X2) → U64'(X1, X2)
a__U71'(X1, X2) → U71'(X1, X2)
a__U72'(X1, X2) → U72'(X1, X2)
a__U81'(X1, X2, X3) → U81'(X1, X2, X3)
a__U82'(X1, X2, X3) → U82'(X1, X2, X3)
a__fst'(X) → fst'(X)
a__natsFrom'(X) → natsFrom'(X)
a__sel'(X1, X2) → sel'(X1, X2)
a__tail'(X) → tail'(X)
a__take'(X1, X2) → take'(X1, X2)

Rewrite Strategy: INNERMOST


Infered types.


Rules:
a__U11'(tt', N, XS) → a__U12'(tt', N, XS)
a__U12'(tt', N, XS) → a__snd'(a__splitAt'(mark'(N), mark'(XS)))
a__U21'(tt', X) → a__U22'(tt', X)
a__U22'(tt', X) → mark'(X)
a__U31'(tt', N) → a__U32'(tt', N)
a__U32'(tt', N) → mark'(N)
a__U41'(tt', N, XS) → a__U42'(tt', N, XS)
a__U42'(tt', N, XS) → a__head'(a__afterNth'(mark'(N), mark'(XS)))
a__U51'(tt', Y) → a__U52'(tt', Y)
a__U52'(tt', Y) → mark'(Y)
a__U61'(tt', N, X, XS) → a__U62'(tt', N, X, XS)
a__U62'(tt', N, X, XS) → a__U63'(tt', N, X, XS)
a__U63'(tt', N, X, XS) → a__U64'(a__splitAt'(mark'(N), mark'(XS)), X)
a__U64'(pair'(YS, ZS), X) → pair'(cons'(mark'(X), YS), mark'(ZS))
a__U71'(tt', XS) → a__U72'(tt', XS)
a__U72'(tt', XS) → mark'(XS)
a__U81'(tt', N, XS) → a__U82'(tt', N, XS)
a__U82'(tt', N, XS) → a__fst'(a__splitAt'(mark'(N), mark'(XS)))
a__afterNth'(N, XS) → a__U11'(tt', N, XS)
a__fst'(pair'(X, Y)) → a__U21'(tt', X)
a__head'(cons'(N, XS)) → a__U31'(tt', N)
a__natsFrom'(N) → cons'(mark'(N), natsFrom'(s'(N)))
a__sel'(N, XS) → a__U41'(tt', N, XS)
a__snd'(pair'(X, Y)) → a__U51'(tt', Y)
a__splitAt'(0', XS) → pair'(nil', mark'(XS))
a__splitAt'(s'(N), cons'(X, XS)) → a__U61'(tt', N, X, XS)
a__tail'(cons'(N, XS)) → a__U71'(tt', XS)
a__take'(N, XS) → a__U81'(tt', N, XS)
mark'(U11'(X1, X2, X3)) → a__U11'(mark'(X1), X2, X3)
mark'(U12'(X1, X2, X3)) → a__U12'(mark'(X1), X2, X3)
mark'(snd'(X)) → a__snd'(mark'(X))
mark'(splitAt'(X1, X2)) → a__splitAt'(mark'(X1), mark'(X2))
mark'(U21'(X1, X2)) → a__U21'(mark'(X1), X2)
mark'(U22'(X1, X2)) → a__U22'(mark'(X1), X2)
mark'(U31'(X1, X2)) → a__U31'(mark'(X1), X2)
mark'(U32'(X1, X2)) → a__U32'(mark'(X1), X2)
mark'(U41'(X1, X2, X3)) → a__U41'(mark'(X1), X2, X3)
mark'(U42'(X1, X2, X3)) → a__U42'(mark'(X1), X2, X3)
mark'(head'(X)) → a__head'(mark'(X))
mark'(afterNth'(X1, X2)) → a__afterNth'(mark'(X1), mark'(X2))
mark'(U51'(X1, X2)) → a__U51'(mark'(X1), X2)
mark'(U52'(X1, X2)) → a__U52'(mark'(X1), X2)
mark'(U61'(X1, X2, X3, X4)) → a__U61'(mark'(X1), X2, X3, X4)
mark'(U62'(X1, X2, X3, X4)) → a__U62'(mark'(X1), X2, X3, X4)
mark'(U63'(X1, X2, X3, X4)) → a__U63'(mark'(X1), X2, X3, X4)
mark'(U64'(X1, X2)) → a__U64'(mark'(X1), X2)
mark'(U71'(X1, X2)) → a__U71'(mark'(X1), X2)
mark'(U72'(X1, X2)) → a__U72'(mark'(X1), X2)
mark'(U81'(X1, X2, X3)) → a__U81'(mark'(X1), X2, X3)
mark'(U82'(X1, X2, X3)) → a__U82'(mark'(X1), X2, X3)
mark'(fst'(X)) → a__fst'(mark'(X))
mark'(natsFrom'(X)) → a__natsFrom'(mark'(X))
mark'(sel'(X1, X2)) → a__sel'(mark'(X1), mark'(X2))
mark'(tail'(X)) → a__tail'(mark'(X))
mark'(take'(X1, X2)) → a__take'(mark'(X1), mark'(X2))
mark'(tt') → tt'
mark'(pair'(X1, X2)) → pair'(mark'(X1), mark'(X2))
mark'(cons'(X1, X2)) → cons'(mark'(X1), X2)
mark'(s'(X)) → s'(mark'(X))
mark'(0') → 0'
mark'(nil') → nil'
a__U11'(X1, X2, X3) → U11'(X1, X2, X3)
a__U12'(X1, X2, X3) → U12'(X1, X2, X3)
a__snd'(X) → snd'(X)
a__splitAt'(X1, X2) → splitAt'(X1, X2)
a__U21'(X1, X2) → U21'(X1, X2)
a__U22'(X1, X2) → U22'(X1, X2)
a__U31'(X1, X2) → U31'(X1, X2)
a__U32'(X1, X2) → U32'(X1, X2)
a__U41'(X1, X2, X3) → U41'(X1, X2, X3)
a__U42'(X1, X2, X3) → U42'(X1, X2, X3)
a__head'(X) → head'(X)
a__afterNth'(X1, X2) → afterNth'(X1, X2)
a__U51'(X1, X2) → U51'(X1, X2)
a__U52'(X1, X2) → U52'(X1, X2)
a__U61'(X1, X2, X3, X4) → U61'(X1, X2, X3, X4)
a__U62'(X1, X2, X3, X4) → U62'(X1, X2, X3, X4)
a__U63'(X1, X2, X3, X4) → U63'(X1, X2, X3, X4)
a__U64'(X1, X2) → U64'(X1, X2)
a__U71'(X1, X2) → U71'(X1, X2)
a__U72'(X1, X2) → U72'(X1, X2)
a__U81'(X1, X2, X3) → U81'(X1, X2, X3)
a__U82'(X1, X2, X3) → U82'(X1, X2, X3)
a__fst'(X) → fst'(X)
a__natsFrom'(X) → natsFrom'(X)
a__sel'(X1, X2) → sel'(X1, X2)
a__tail'(X) → tail'(X)
a__take'(X1, X2) → take'(X1, X2)

Types:
a__U11' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
tt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U12' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__snd' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__splitAt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
mark' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U21' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U22' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U31' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U32' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U41' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U42' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__head' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__afterNth' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U51' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U52' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U61' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U62' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U63' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U64' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
pair' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
cons' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U71' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U72' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U81' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U82' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__fst' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__natsFrom' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
natsFrom' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
s' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__sel' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
0' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
nil' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__tail' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__take' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U11' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U12' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
snd' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
splitAt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U21' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U22' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U31' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U32' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U41' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U42' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
head' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
afterNth' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U51' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U52' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U61' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U62' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U63' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U64' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U71' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U72' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U81' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U82' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
fst' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
sel' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
tail' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
take' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
_hole_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'1 :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
_gen_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'2 :: Nat → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'


Heuristically decided to analyse the following defined symbols:
a__U11', a__U12', a__snd', a__splitAt', mark', a__U21', a__U22', a__U31', a__U32', a__U41', a__U42', a__head', a__afterNth', a__U51', a__U52', a__U61', a__U62', a__U63', a__U64', a__U71', a__U72', a__U81', a__U82', a__fst', a__natsFrom', a__tail'

They will be analysed ascendingly in the following order:
a__U11' = a__U12'
a__U11' = a__snd'
a__U11' = a__splitAt'
a__U11' = mark'
a__U11' = a__U21'
a__U11' = a__U22'
a__U11' = a__U31'
a__U11' = a__U32'
a__U11' = a__U41'
a__U11' = a__U42'
a__U11' = a__head'
a__U11' = a__afterNth'
a__U11' = a__U51'
a__U11' = a__U52'
a__U11' = a__U61'
a__U11' = a__U62'
a__U11' = a__U63'
a__U11' = a__U64'
a__U11' = a__U71'
a__U11' = a__U72'
a__U11' = a__U81'
a__U11' = a__U82'
a__U11' = a__fst'
a__U11' = a__natsFrom'
a__U11' = a__tail'
a__U12' = a__snd'
a__U12' = a__splitAt'
a__U12' = mark'
a__U12' = a__U21'
a__U12' = a__U22'
a__U12' = a__U31'
a__U12' = a__U32'
a__U12' = a__U41'
a__U12' = a__U42'
a__U12' = a__head'
a__U12' = a__afterNth'
a__U12' = a__U51'
a__U12' = a__U52'
a__U12' = a__U61'
a__U12' = a__U62'
a__U12' = a__U63'
a__U12' = a__U64'
a__U12' = a__U71'
a__U12' = a__U72'
a__U12' = a__U81'
a__U12' = a__U82'
a__U12' = a__fst'
a__U12' = a__natsFrom'
a__U12' = a__tail'
a__snd' = a__splitAt'
a__snd' = mark'
a__snd' = a__U21'
a__snd' = a__U22'
a__snd' = a__U31'
a__snd' = a__U32'
a__snd' = a__U41'
a__snd' = a__U42'
a__snd' = a__head'
a__snd' = a__afterNth'
a__snd' = a__U51'
a__snd' = a__U52'
a__snd' = a__U61'
a__snd' = a__U62'
a__snd' = a__U63'
a__snd' = a__U64'
a__snd' = a__U71'
a__snd' = a__U72'
a__snd' = a__U81'
a__snd' = a__U82'
a__snd' = a__fst'
a__snd' = a__natsFrom'
a__snd' = a__tail'
a__splitAt' = mark'
a__splitAt' = a__U21'
a__splitAt' = a__U22'
a__splitAt' = a__U31'
a__splitAt' = a__U32'
a__splitAt' = a__U41'
a__splitAt' = a__U42'
a__splitAt' = a__head'
a__splitAt' = a__afterNth'
a__splitAt' = a__U51'
a__splitAt' = a__U52'
a__splitAt' = a__U61'
a__splitAt' = a__U62'
a__splitAt' = a__U63'
a__splitAt' = a__U64'
a__splitAt' = a__U71'
a__splitAt' = a__U72'
a__splitAt' = a__U81'
a__splitAt' = a__U82'
a__splitAt' = a__fst'
a__splitAt' = a__natsFrom'
a__splitAt' = a__tail'
mark' = a__U21'
mark' = a__U22'
mark' = a__U31'
mark' = a__U32'
mark' = a__U41'
mark' = a__U42'
mark' = a__head'
mark' = a__afterNth'
mark' = a__U51'
mark' = a__U52'
mark' = a__U61'
mark' = a__U62'
mark' = a__U63'
mark' = a__U64'
mark' = a__U71'
mark' = a__U72'
mark' = a__U81'
mark' = a__U82'
mark' = a__fst'
mark' = a__natsFrom'
mark' = a__tail'
a__U21' = a__U22'
a__U21' = a__U31'
a__U21' = a__U32'
a__U21' = a__U41'
a__U21' = a__U42'
a__U21' = a__head'
a__U21' = a__afterNth'
a__U21' = a__U51'
a__U21' = a__U52'
a__U21' = a__U61'
a__U21' = a__U62'
a__U21' = a__U63'
a__U21' = a__U64'
a__U21' = a__U71'
a__U21' = a__U72'
a__U21' = a__U81'
a__U21' = a__U82'
a__U21' = a__fst'
a__U21' = a__natsFrom'
a__U21' = a__tail'
a__U22' = a__U31'
a__U22' = a__U32'
a__U22' = a__U41'
a__U22' = a__U42'
a__U22' = a__head'
a__U22' = a__afterNth'
a__U22' = a__U51'
a__U22' = a__U52'
a__U22' = a__U61'
a__U22' = a__U62'
a__U22' = a__U63'
a__U22' = a__U64'
a__U22' = a__U71'
a__U22' = a__U72'
a__U22' = a__U81'
a__U22' = a__U82'
a__U22' = a__fst'
a__U22' = a__natsFrom'
a__U22' = a__tail'
a__U31' = a__U32'
a__U31' = a__U41'
a__U31' = a__U42'
a__U31' = a__head'
a__U31' = a__afterNth'
a__U31' = a__U51'
a__U31' = a__U52'
a__U31' = a__U61'
a__U31' = a__U62'
a__U31' = a__U63'
a__U31' = a__U64'
a__U31' = a__U71'
a__U31' = a__U72'
a__U31' = a__U81'
a__U31' = a__U82'
a__U31' = a__fst'
a__U31' = a__natsFrom'
a__U31' = a__tail'
a__U32' = a__U41'
a__U32' = a__U42'
a__U32' = a__head'
a__U32' = a__afterNth'
a__U32' = a__U51'
a__U32' = a__U52'
a__U32' = a__U61'
a__U32' = a__U62'
a__U32' = a__U63'
a__U32' = a__U64'
a__U32' = a__U71'
a__U32' = a__U72'
a__U32' = a__U81'
a__U32' = a__U82'
a__U32' = a__fst'
a__U32' = a__natsFrom'
a__U32' = a__tail'
a__U41' = a__U42'
a__U41' = a__head'
a__U41' = a__afterNth'
a__U41' = a__U51'
a__U41' = a__U52'
a__U41' = a__U61'
a__U41' = a__U62'
a__U41' = a__U63'
a__U41' = a__U64'
a__U41' = a__U71'
a__U41' = a__U72'
a__U41' = a__U81'
a__U41' = a__U82'
a__U41' = a__fst'
a__U41' = a__natsFrom'
a__U41' = a__tail'
a__U42' = a__head'
a__U42' = a__afterNth'
a__U42' = a__U51'
a__U42' = a__U52'
a__U42' = a__U61'
a__U42' = a__U62'
a__U42' = a__U63'
a__U42' = a__U64'
a__U42' = a__U71'
a__U42' = a__U72'
a__U42' = a__U81'
a__U42' = a__U82'
a__U42' = a__fst'
a__U42' = a__natsFrom'
a__U42' = a__tail'
a__head' = a__afterNth'
a__head' = a__U51'
a__head' = a__U52'
a__head' = a__U61'
a__head' = a__U62'
a__head' = a__U63'
a__head' = a__U64'
a__head' = a__U71'
a__head' = a__U72'
a__head' = a__U81'
a__head' = a__U82'
a__head' = a__fst'
a__head' = a__natsFrom'
a__head' = a__tail'
a__afterNth' = a__U51'
a__afterNth' = a__U52'
a__afterNth' = a__U61'
a__afterNth' = a__U62'
a__afterNth' = a__U63'
a__afterNth' = a__U64'
a__afterNth' = a__U71'
a__afterNth' = a__U72'
a__afterNth' = a__U81'
a__afterNth' = a__U82'
a__afterNth' = a__fst'
a__afterNth' = a__natsFrom'
a__afterNth' = a__tail'
a__U51' = a__U52'
a__U51' = a__U61'
a__U51' = a__U62'
a__U51' = a__U63'
a__U51' = a__U64'
a__U51' = a__U71'
a__U51' = a__U72'
a__U51' = a__U81'
a__U51' = a__U82'
a__U51' = a__fst'
a__U51' = a__natsFrom'
a__U51' = a__tail'
a__U52' = a__U61'
a__U52' = a__U62'
a__U52' = a__U63'
a__U52' = a__U64'
a__U52' = a__U71'
a__U52' = a__U72'
a__U52' = a__U81'
a__U52' = a__U82'
a__U52' = a__fst'
a__U52' = a__natsFrom'
a__U52' = a__tail'
a__U61' = a__U62'
a__U61' = a__U63'
a__U61' = a__U64'
a__U61' = a__U71'
a__U61' = a__U72'
a__U61' = a__U81'
a__U61' = a__U82'
a__U61' = a__fst'
a__U61' = a__natsFrom'
a__U61' = a__tail'
a__U62' = a__U63'
a__U62' = a__U64'
a__U62' = a__U71'
a__U62' = a__U72'
a__U62' = a__U81'
a__U62' = a__U82'
a__U62' = a__fst'
a__U62' = a__natsFrom'
a__U62' = a__tail'
a__U63' = a__U64'
a__U63' = a__U71'
a__U63' = a__U72'
a__U63' = a__U81'
a__U63' = a__U82'
a__U63' = a__fst'
a__U63' = a__natsFrom'
a__U63' = a__tail'
a__U64' = a__U71'
a__U64' = a__U72'
a__U64' = a__U81'
a__U64' = a__U82'
a__U64' = a__fst'
a__U64' = a__natsFrom'
a__U64' = a__tail'
a__U71' = a__U72'
a__U71' = a__U81'
a__U71' = a__U82'
a__U71' = a__fst'
a__U71' = a__natsFrom'
a__U71' = a__tail'
a__U72' = a__U81'
a__U72' = a__U82'
a__U72' = a__fst'
a__U72' = a__natsFrom'
a__U72' = a__tail'
a__U81' = a__U82'
a__U81' = a__fst'
a__U81' = a__natsFrom'
a__U81' = a__tail'
a__U82' = a__fst'
a__U82' = a__natsFrom'
a__U82' = a__tail'
a__fst' = a__natsFrom'
a__fst' = a__tail'
a__natsFrom' = a__tail'


Rules:
a__U11'(tt', N, XS) → a__U12'(tt', N, XS)
a__U12'(tt', N, XS) → a__snd'(a__splitAt'(mark'(N), mark'(XS)))
a__U21'(tt', X) → a__U22'(tt', X)
a__U22'(tt', X) → mark'(X)
a__U31'(tt', N) → a__U32'(tt', N)
a__U32'(tt', N) → mark'(N)
a__U41'(tt', N, XS) → a__U42'(tt', N, XS)
a__U42'(tt', N, XS) → a__head'(a__afterNth'(mark'(N), mark'(XS)))
a__U51'(tt', Y) → a__U52'(tt', Y)
a__U52'(tt', Y) → mark'(Y)
a__U61'(tt', N, X, XS) → a__U62'(tt', N, X, XS)
a__U62'(tt', N, X, XS) → a__U63'(tt', N, X, XS)
a__U63'(tt', N, X, XS) → a__U64'(a__splitAt'(mark'(N), mark'(XS)), X)
a__U64'(pair'(YS, ZS), X) → pair'(cons'(mark'(X), YS), mark'(ZS))
a__U71'(tt', XS) → a__U72'(tt', XS)
a__U72'(tt', XS) → mark'(XS)
a__U81'(tt', N, XS) → a__U82'(tt', N, XS)
a__U82'(tt', N, XS) → a__fst'(a__splitAt'(mark'(N), mark'(XS)))
a__afterNth'(N, XS) → a__U11'(tt', N, XS)
a__fst'(pair'(X, Y)) → a__U21'(tt', X)
a__head'(cons'(N, XS)) → a__U31'(tt', N)
a__natsFrom'(N) → cons'(mark'(N), natsFrom'(s'(N)))
a__sel'(N, XS) → a__U41'(tt', N, XS)
a__snd'(pair'(X, Y)) → a__U51'(tt', Y)
a__splitAt'(0', XS) → pair'(nil', mark'(XS))
a__splitAt'(s'(N), cons'(X, XS)) → a__U61'(tt', N, X, XS)
a__tail'(cons'(N, XS)) → a__U71'(tt', XS)
a__take'(N, XS) → a__U81'(tt', N, XS)
mark'(U11'(X1, X2, X3)) → a__U11'(mark'(X1), X2, X3)
mark'(U12'(X1, X2, X3)) → a__U12'(mark'(X1), X2, X3)
mark'(snd'(X)) → a__snd'(mark'(X))
mark'(splitAt'(X1, X2)) → a__splitAt'(mark'(X1), mark'(X2))
mark'(U21'(X1, X2)) → a__U21'(mark'(X1), X2)
mark'(U22'(X1, X2)) → a__U22'(mark'(X1), X2)
mark'(U31'(X1, X2)) → a__U31'(mark'(X1), X2)
mark'(U32'(X1, X2)) → a__U32'(mark'(X1), X2)
mark'(U41'(X1, X2, X3)) → a__U41'(mark'(X1), X2, X3)
mark'(U42'(X1, X2, X3)) → a__U42'(mark'(X1), X2, X3)
mark'(head'(X)) → a__head'(mark'(X))
mark'(afterNth'(X1, X2)) → a__afterNth'(mark'(X1), mark'(X2))
mark'(U51'(X1, X2)) → a__U51'(mark'(X1), X2)
mark'(U52'(X1, X2)) → a__U52'(mark'(X1), X2)
mark'(U61'(X1, X2, X3, X4)) → a__U61'(mark'(X1), X2, X3, X4)
mark'(U62'(X1, X2, X3, X4)) → a__U62'(mark'(X1), X2, X3, X4)
mark'(U63'(X1, X2, X3, X4)) → a__U63'(mark'(X1), X2, X3, X4)
mark'(U64'(X1, X2)) → a__U64'(mark'(X1), X2)
mark'(U71'(X1, X2)) → a__U71'(mark'(X1), X2)
mark'(U72'(X1, X2)) → a__U72'(mark'(X1), X2)
mark'(U81'(X1, X2, X3)) → a__U81'(mark'(X1), X2, X3)
mark'(U82'(X1, X2, X3)) → a__U82'(mark'(X1), X2, X3)
mark'(fst'(X)) → a__fst'(mark'(X))
mark'(natsFrom'(X)) → a__natsFrom'(mark'(X))
mark'(sel'(X1, X2)) → a__sel'(mark'(X1), mark'(X2))
mark'(tail'(X)) → a__tail'(mark'(X))
mark'(take'(X1, X2)) → a__take'(mark'(X1), mark'(X2))
mark'(tt') → tt'
mark'(pair'(X1, X2)) → pair'(mark'(X1), mark'(X2))
mark'(cons'(X1, X2)) → cons'(mark'(X1), X2)
mark'(s'(X)) → s'(mark'(X))
mark'(0') → 0'
mark'(nil') → nil'
a__U11'(X1, X2, X3) → U11'(X1, X2, X3)
a__U12'(X1, X2, X3) → U12'(X1, X2, X3)
a__snd'(X) → snd'(X)
a__splitAt'(X1, X2) → splitAt'(X1, X2)
a__U21'(X1, X2) → U21'(X1, X2)
a__U22'(X1, X2) → U22'(X1, X2)
a__U31'(X1, X2) → U31'(X1, X2)
a__U32'(X1, X2) → U32'(X1, X2)
a__U41'(X1, X2, X3) → U41'(X1, X2, X3)
a__U42'(X1, X2, X3) → U42'(X1, X2, X3)
a__head'(X) → head'(X)
a__afterNth'(X1, X2) → afterNth'(X1, X2)
a__U51'(X1, X2) → U51'(X1, X2)
a__U52'(X1, X2) → U52'(X1, X2)
a__U61'(X1, X2, X3, X4) → U61'(X1, X2, X3, X4)
a__U62'(X1, X2, X3, X4) → U62'(X1, X2, X3, X4)
a__U63'(X1, X2, X3, X4) → U63'(X1, X2, X3, X4)
a__U64'(X1, X2) → U64'(X1, X2)
a__U71'(X1, X2) → U71'(X1, X2)
a__U72'(X1, X2) → U72'(X1, X2)
a__U81'(X1, X2, X3) → U81'(X1, X2, X3)
a__U82'(X1, X2, X3) → U82'(X1, X2, X3)
a__fst'(X) → fst'(X)
a__natsFrom'(X) → natsFrom'(X)
a__sel'(X1, X2) → sel'(X1, X2)
a__tail'(X) → tail'(X)
a__take'(X1, X2) → take'(X1, X2)

Types:
a__U11' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
tt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U12' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__snd' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__splitAt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
mark' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U21' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U22' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U31' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U32' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U41' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U42' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__head' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__afterNth' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U51' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U52' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U61' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U62' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U63' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U64' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
pair' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
cons' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U71' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U72' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U81' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__U82' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__fst' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__natsFrom' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
natsFrom' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
s' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__sel' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
0' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
nil' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__tail' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
a__take' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U11' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U12' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
snd' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
splitAt' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U21' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U22' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U31' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U32' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U41' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U42' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
head' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
afterNth' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U51' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U52' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U61' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U62' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U63' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U64' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U71' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U72' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U81' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
U82' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
fst' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
sel' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
tail' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
take' :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take' → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
_hole_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'1 :: tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'
_gen_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'2 :: Nat → tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'

Generator Equations:
_gen_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'2(0) ⇔ tt'
_gen_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'2(+(x, 1)) ⇔ pair'(tt', _gen_tt':pair':cons':s':natsFrom':0':nil':U11':U12':snd':splitAt':U21':U22':U31':U32':U41':U42':head':afterNth':U51':U52':U61':U62':U63':U64':U71':U72':U81':U82':fst':sel':tail':take'2(x))

The following defined symbols remain to be analysed:
a__U12', a__U11', a__snd', a__splitAt', mark', a__U21', a__U22', a__U31', a__U32', a__U41', a__U42', a__head', a__afterNth', a__U51', a__U52', a__U61', a__U62', a__U63', a__U64', a__U71', a__U72', a__U81', a__U82', a__fst', a__natsFrom', a__tail'

They will be analysed ascendingly in the following order:
a__U11' = a__U12'
a__U11' = a__snd'
a__U11' = a__splitAt'
a__U11' = mark'
a__U11' = a__U21'
a__U11' = a__U22'
a__U11' = a__U31'
a__U11' = a__U32'
a__U11' = a__U41'
a__U11' = a__U42'
a__U11' = a__head'
a__U11' = a__afterNth'
a__U11' = a__U51'
a__U11' = a__U52'
a__U11' = a__U61'
a__U11' = a__U62'
a__U11' = a__U63'
a__U11' = a__U64'
a__U11' = a__U71'
a__U11' = a__U72'
a__U11' = a__U81'
a__U11' = a__U82'
a__U11' = a__fst'
a__U11' = a__natsFrom'
a__U11' = a__tail'
a__U12' = a__snd'
a__U12' = a__splitAt'
a__U12' = mark'
a__U12' = a__U21'
a__U12' = a__U22'
a__U12' = a__U31'
a__U12' = a__U32'
a__U12' = a__U41'
a__U12' = a__U42'
a__U12' = a__head'
a__U12' = a__afterNth'
a__U12' = a__U51'
a__U12' = a__U52'
a__U12' = a__U61'
a__U12' = a__U62'
a__U12' = a__U63'
a__U12' = a__U64'
a__U12' = a__U71'
a__U12' = a__U72'
a__U12' = a__U81'
a__U12' = a__U82'
a__U12' = a__fst'
a__U12' = a__natsFrom'
a__U12' = a__tail'
a__snd' = a__splitAt'
a__snd' = mark'
a__snd' = a__U21'
a__snd' = a__U22'
a__snd' = a__U31'
a__snd' = a__U32'
a__snd' = a__U41'
a__snd' = a__U42'
a__snd' = a__head'
a__snd' = a__afterNth'
a__snd' = a__U51'
a__snd' = a__U52'
a__snd' = a__U61'
a__snd' = a__U62'
a__snd' = a__U63'
a__snd' = a__U64'
a__snd' = a__U71'
a__snd' = a__U72'
a__snd' = a__U81'
a__snd' = a__U82'
a__snd' = a__fst'
a__snd' = a__natsFrom'
a__snd' = a__tail'
a__splitAt' = mark'
a__splitAt' = a__U21'
a__splitAt' = a__U22'
a__splitAt' = a__U31'
a__splitAt' = a__U32'
a__splitAt' = a__U41'
a__splitAt' = a__U42'
a__splitAt' = a__head'
a__splitAt' = a__afterNth'
a__splitAt' = a__U51'
a__splitAt' = a__U52'
a__splitAt' = a__U61'
a__splitAt' = a__U62'
a__splitAt' = a__U63'
a__splitAt' = a__U64'
a__splitAt' = a__U71'
a__splitAt' = a__U72'
a__splitAt' = a__U81'
a__splitAt' = a__U82'
a__splitAt' = a__fst'
a__splitAt' = a__natsFrom'
a__splitAt' = a__tail'
mark' = a__U21'
mark' = a__U22'
mark' = a__U31'
mark' = a__U32'
mark' = a__U41'
mark' = a__U42'
mark' = a__head'
mark' = a__afterNth'
mark' = a__U51'
mark' = a__U52'
mark' = a__U61'
mark' = a__U62'
mark' = a__U63'
mark' = a__U64'
mark' = a__U71'
mark' = a__U72'
mark' = a__U81'
mark' = a__U82'
mark' = a__fst'
mark' = a__natsFrom'
mark' = a__tail'
a__U21' = a__U22'
a__U21' = a__U31'
a__U21' = a__U32'
a__U21' = a__U41'
a__U21' = a__U42'
a__U21' = a__head'
a__U21' = a__afterNth'
a__U21' = a__U51'
a__U21' = a__U52'
a__U21' = a__U61'
a__U21' = a__U62'
a__U21' = a__U63'
a__U21' = a__U64'
a__U21' = a__U71'
a__U21' = a__U72'
a__U21' = a__U81'
a__U21' = a__U82'
a__U21' = a__fst'
a__U21' = a__natsFrom'
a__U21' = a__tail'
a__U22' = a__U31'
a__U22' = a__U32'
a__U22' = a__U41'
a__U22' = a__U42'
a__U22' = a__head'
a__U22' = a__afterNth'
a__U22' = a__U51'
a__U22' = a__U52'
a__U22' = a__U61'
a__U22' = a__U62'
a__U22' = a__U63'
a__U22' = a__U64'
a__U22' = a__U71'
a__U22' = a__U72'
a__U22' = a__U81'
a__U22' = a__U82'
a__U22' = a__fst'
a__U22' = a__natsFrom'
a__U22' = a__tail'
a__U31' = a__U32'
a__U31' = a__U41'
a__U31' = a__U42'
a__U31' = a__head'
a__U31' = a__afterNth'
a__U31' = a__U51'
a__U31' = a__U52'
a__U31' = a__U61'
a__U31' = a__U62'
a__U31' = a__U63'
a__U31' = a__U64'
a__U31' = a__U71'
a__U31' = a__U72'
a__U31' = a__U81'
a__U31' = a__U82'
a__U31' = a__fst'
a__U31' = a__natsFrom'
a__U31' = a__tail'
a__U32' = a__U41'
a__U32' = a__U42'
a__U32' = a__head'
a__U32' = a__afterNth'
a__U32' = a__U51'
a__U32' = a__U52'
a__U32' = a__U61'
a__U32' = a__U62'
a__U32' = a__U63'
a__U32' = a__U64'
a__U32' = a__U71'
a__U32' = a__U72'
a__U32' = a__U81'
a__U32' = a__U82'
a__U32' = a__fst'
a__U32' = a__natsFrom'
a__U32' = a__tail'
a__U41' = a__U42'
a__U41' = a__head'
a__U41' = a__afterNth'
a__U41' = a__U51'
a__U41' = a__U52'
a__U41' = a__U61'
a__U41' = a__U62'
a__U41' = a__U63'
a__U41' = a__U64'
a__U41' = a__U71'
a__U41' = a__U72'
a__U41' = a__U81'
a__U41' = a__U82'
a__U41' = a__fst'
a__U41' = a__natsFrom'
a__U41' = a__tail'
a__U42' = a__head'
a__U42' = a__afterNth'
a__U42' = a__U51'
a__U42' = a__U52'
a__U42' = a__U61'
a__U42' = a__U62'
a__U42' = a__U63'
a__U42' = a__U64'
a__U42' = a__U71'
a__U42' = a__U72'
a__U42' = a__U81'
a__U42' = a__U82'
a__U42' = a__fst'
a__U42' = a__natsFrom'
a__U42' = a__tail'
a__head' = a__afterNth'
a__head' = a__U51'
a__head' = a__U52'
a__head' = a__U61'
a__head' = a__U62'
a__head' = a__U63'
a__head' = a__U64'
a__head' = a__U71'
a__head' = a__U72'
a__head' = a__U81'
a__head' = a__U82'
a__head' = a__fst'
a__head' = a__natsFrom'
a__head' = a__tail'
a__afterNth' = a__U51'
a__afterNth' = a__U52'
a__afterNth' = a__U61'
a__afterNth' = a__U62'
a__afterNth' = a__U63'
a__afterNth' = a__U64'
a__afterNth' = a__U71'
a__afterNth' = a__U72'
a__afterNth' = a__U81'
a__afterNth' = a__U82'
a__afterNth' = a__fst'
a__afterNth' = a__natsFrom'
a__afterNth' = a__tail'
a__U51' = a__U52'
a__U51' = a__U61'
a__U51' = a__U62'
a__U51' = a__U63'
a__U51' = a__U64'
a__U51' = a__U71'
a__U51' = a__U72'
a__U51' = a__U81'
a__U51' = a__U82'
a__U51' = a__fst'
a__U51' = a__natsFrom'
a__U51' = a__tail'
a__U52' = a__U61'
a__U52' = a__U62'
a__U52' = a__U63'
a__U52' = a__U64'
a__U52' = a__U71'
a__U52' = a__U72'
a__U52' = a__U81'
a__U52' = a__U82'
a__U52' = a__fst'
a__U52' = a__natsFrom'
a__U52' = a__tail'
a__U61' = a__U62'
a__U61' = a__U63'
a__U61' = a__U64'
a__U61' = a__U71'
a__U61' = a__U72'
a__U61' = a__U81'
a__U61' = a__U82'
a__U61' = a__fst'
a__U61' = a__natsFrom'
a__U61' = a__tail'
a__U62' = a__U63'
a__U62' = a__U64'
a__U62' = a__U71'
a__U62' = a__U72'
a__U62' = a__U81'
a__U62' = a__U82'
a__U62' = a__fst'
a__U62' = a__natsFrom'
a__U62' = a__tail'
a__U63' = a__U64'
a__U63' = a__U71'
a__U63' = a__U72'
a__U63' = a__U81'
a__U63' = a__U82'
a__U63' = a__fst'
a__U63' = a__natsFrom'
a__U63' = a__tail'
a__U64' = a__U71'
a__U64' = a__U72'
a__U64' = a__U81'
a__U64' = a__U82'
a__U64' = a__fst'
a__U64' = a__natsFrom'
a__U64' = a__tail'
a__U71' = a__U72'
a__U71' = a__U81'
a__U71' = a__U82'
a__U71' = a__fst'
a__U71' = a__natsFrom'
a__U71' = a__tail'
a__U72' = a__U81'
a__U72' = a__U82'
a__U72' = a__fst'
a__U72' = a__natsFrom'
a__U72' = a__tail'
a__U81' = a__U82'
a__U81' = a__fst'
a__U81' = a__natsFrom'
a__U81' = a__tail'
a__U82' = a__fst'
a__U82' = a__natsFrom'
a__U82' = a__tail'
a__fst' = a__natsFrom'
a__fst' = a__tail'
a__natsFrom' = a__tail'