(0) Obligation:

Clauses:

times(X, Y, Z) :- mult(X, Y, 0, Z).
mult(0, Y, 0, Z) :- ','(!, eq(Z, 0)).
mult(s(X), Y, 0, Z) :- ','(!, mult(X, Y, Y, Z)).
mult(X, Y, W, s(Z)) :- ','(p(W, P), mult(X, Y, P, Z)).
p(0, 0).
p(s(X), X).
eq(X, X).

Queries:

times(g,g,a).

(1) PrologToPrologProblemTransformerProof (SOUND transformation)

Built Prolog problem from termination graph.

(2) Obligation:

Clauses:

mult25(0, 0).
mult25(s(T27), T29) :- mult25(T27, T29).
mult25(T30, s(T32)) :- mult25(T30, T32).
mult64(0, s(0)).
mult64(s(T52), s(T54)) :- mult64(T52, T54).
mult64(T55, s(s(T57))) :- mult72(T55, T57).
mult72(0, 0).
mult72(s(T52), T54) :- mult64(T52, T54).
mult72(T55, s(T57)) :- mult72(T55, T57).
mult111(0, s(s(0))).
mult111(s(T80), s(s(T82))) :- mult111(T80, T82).
mult111(T83, s(s(s(T85)))) :- mult127(T83, T85).
mult127(0, 0).
mult127(s(T80), T82) :- mult111(T80, T82).
mult127(T83, s(T85)) :- mult127(T83, T85).
mult166(0, s(s(s(0)))).
mult166(s(T111), s(s(s(T113)))) :- mult166(T111, T113).
mult166(T114, s(s(s(s(T116))))) :- mult190(T114, T116).
mult190(0, 0).
mult190(s(T111), T113) :- mult166(T111, T113).
mult190(T114, s(T116)) :- mult190(T114, T116).
mult229(0, s(s(s(s(0))))).
mult229(s(T145), s(s(s(s(T147))))) :- mult229(T145, T147).
mult229(T148, s(s(s(s(s(T150)))))) :- mult261(T148, T150).
mult261(0, 0).
mult261(s(T145), T147) :- mult229(T145, T147).
mult261(T148, s(T150)) :- mult261(T148, T150).
mult300(0, s(s(s(s(s(0)))))).
mult300(s(T182), s(s(s(s(s(T184)))))) :- mult300(T182, T184).
mult300(T185, s(s(s(s(s(s(T187))))))) :- mult340(T185, T187).
mult340(0, 0).
mult340(s(T182), T184) :- mult300(T182, T184).
mult340(T185, s(T187)) :- mult340(T185, T187).
mult379(0, s(s(s(s(s(s(0))))))).
mult379(s(T222), s(s(s(s(s(s(T224))))))) :- mult379(T222, T224).
mult379(T225, s(s(s(s(s(s(s(T227)))))))) :- mult427(T225, T227).
mult427(0, 0).
mult427(s(T222), T224) :- mult379(T222, T224).
mult427(T225, s(T227)) :- mult427(T225, T227).
mult14(0, 0, 0).
mult14(s(0), 0, 0).
mult14(s(s(T27)), 0, T29) :- mult25(T27, T29).
mult14(s(T30), 0, s(T32)) :- mult25(T30, T32).
mult14(T33, 0, s(T36)) :- mult25(T33, T36).
mult14(0, s(0), s(0)).
mult14(s(0), s(0), s(s(0))).
mult14(s(s(T52)), s(0), s(s(T54))) :- mult64(T52, T54).
mult14(s(T55), s(0), s(s(s(T57)))) :- mult72(T55, T57).
mult14(T58, s(0), s(s(T61))) :- mult72(T58, T61).
mult14(0, s(s(0)), s(s(0))).
mult14(s(0), s(s(0)), s(s(s(s(0))))).
mult14(s(s(T80)), s(s(0)), s(s(s(s(T82))))) :- mult111(T80, T82).
mult14(s(T83), s(s(0)), s(s(s(s(s(T85)))))) :- mult127(T83, T85).
mult14(T86, s(s(0)), s(s(s(T89)))) :- mult127(T86, T89).
mult14(0, s(s(s(0))), s(s(s(0)))).
mult14(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))).
mult14(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) :- mult166(T111, T113).
mult14(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) :- mult190(T114, T116).
mult14(T117, s(s(s(0))), s(s(s(s(T120))))) :- mult190(T117, T120).
mult14(0, s(s(s(s(0)))), s(s(s(s(0))))).
mult14(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))).
mult14(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) :- mult229(T145, T147).
mult14(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) :- mult261(T148, T150).
mult14(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) :- mult261(T151, T154).
mult14(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))).
mult14(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))).
mult14(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) :- mult300(T182, T184).
mult14(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) :- mult340(T185, T187).
mult14(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) :- mult340(T188, T191).
mult14(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))).
mult14(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))).
mult14(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) :- mult379(T222, T224).
mult14(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) :- mult427(T225, T227).
mult14(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) :- mult427(T228, T231).
mult14(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))).
mult14(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) :- mult14(T238, s(T239), T241).
mult14(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult3(T242, s(T243), T246).
mult14(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult456(T242, T243, T247, T246).
mult3(0, T8, 0).
mult3(s(0), 0, 0).
mult3(s(s(0)), 0, 0).
mult3(s(s(s(T27))), 0, T29) :- mult25(T27, T29).
mult3(s(s(T30)), 0, s(T32)) :- mult25(T30, T32).
mult3(s(T33), 0, s(T36)) :- mult25(T33, T36).
mult3(s(0), s(0), s(0)).
mult3(s(s(0)), s(0), s(s(0))).
mult3(s(s(s(T52))), s(0), s(s(T54))) :- mult64(T52, T54).
mult3(s(s(T55)), s(0), s(s(s(T57)))) :- mult72(T55, T57).
mult3(s(T58), s(0), s(s(T61))) :- mult72(T58, T61).
mult3(s(0), s(s(0)), s(s(0))).
mult3(s(s(0)), s(s(0)), s(s(s(s(0))))).
mult3(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) :- mult111(T80, T82).
mult3(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) :- mult127(T83, T85).
mult3(s(T86), s(s(0)), s(s(s(T89)))) :- mult127(T86, T89).
mult3(s(0), s(s(s(0))), s(s(s(0)))).
mult3(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))).
mult3(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) :- mult166(T111, T113).
mult3(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) :- mult190(T114, T116).
mult3(s(T117), s(s(s(0))), s(s(s(s(T120))))) :- mult190(T117, T120).
mult3(s(0), s(s(s(s(0)))), s(s(s(s(0))))).
mult3(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))).
mult3(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) :- mult229(T145, T147).
mult3(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) :- mult261(T148, T150).
mult3(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) :- mult261(T151, T154).
mult3(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))).
mult3(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))).
mult3(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) :- mult300(T182, T184).
mult3(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) :- mult340(T185, T187).
mult3(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) :- mult340(T188, T191).
mult3(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))).
mult3(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))).
mult3(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) :- mult379(T222, T224).
mult3(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) :- mult427(T225, T227).
mult3(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) :- mult427(T228, T231).
mult3(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))).
mult3(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) :- mult14(T238, s(T239), T241).
mult3(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult3(T242, s(T243), T246).
mult3(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult456(T242, T243, T247, T246).
mult3(T248, T249, s(T251)) :- mult3(T248, T249, T251).
mult456(0, T234, 0, 0).
mult456(s(T238), T239, 0, T241) :- mult14(T238, s(T239), T241).
mult456(T242, T243, 0, s(T246)) :- mult3(T242, s(T243), T246).
mult456(T242, T243, s(T247), s(T246)) :- mult456(T242, T243, T247, T246).
times1(0, T8, 0).
times1(s(0), 0, 0).
times1(s(s(0)), 0, 0).
times1(s(s(s(T27))), 0, T29) :- mult25(T27, T29).
times1(s(s(T30)), 0, s(T32)) :- mult25(T30, T32).
times1(s(T33), 0, s(T36)) :- mult25(T33, T36).
times1(s(0), s(0), s(0)).
times1(s(s(0)), s(0), s(s(0))).
times1(s(s(s(T52))), s(0), s(s(T54))) :- mult64(T52, T54).
times1(s(s(T55)), s(0), s(s(s(T57)))) :- mult72(T55, T57).
times1(s(T58), s(0), s(s(T61))) :- mult72(T58, T61).
times1(s(0), s(s(0)), s(s(0))).
times1(s(s(0)), s(s(0)), s(s(s(s(0))))).
times1(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) :- mult111(T80, T82).
times1(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) :- mult127(T83, T85).
times1(s(T86), s(s(0)), s(s(s(T89)))) :- mult127(T86, T89).
times1(s(0), s(s(s(0))), s(s(s(0)))).
times1(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))).
times1(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) :- mult166(T111, T113).
times1(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) :- mult190(T114, T116).
times1(s(T117), s(s(s(0))), s(s(s(s(T120))))) :- mult190(T117, T120).
times1(s(0), s(s(s(s(0)))), s(s(s(s(0))))).
times1(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))).
times1(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) :- mult229(T145, T147).
times1(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) :- mult261(T148, T150).
times1(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) :- mult261(T151, T154).
times1(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))).
times1(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))).
times1(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) :- mult300(T182, T184).
times1(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) :- mult340(T185, T187).
times1(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) :- mult340(T188, T191).
times1(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))).
times1(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))).
times1(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) :- mult379(T222, T224).
times1(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) :- mult427(T225, T227).
times1(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) :- mult427(T228, T231).
times1(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))).
times1(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) :- mult14(T238, s(T239), T241).
times1(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult3(T242, s(T243), T246).
times1(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) :- mult456(T242, T243, T247, T246).
times1(T248, T249, s(T251)) :- mult3(T248, T249, T251).

Queries:

times1(g,g,a).

(3) PrologToPiTRSProof (SOUND transformation)

We use the technique of [LOPSTR]. With regard to the inferred argument filtering the predicates were used in the following modes:
times1_in: (b,b,f)
mult25_in: (b,f)
mult64_in: (b,f)
mult72_in: (b,f)
mult111_in: (b,f)
mult127_in: (b,f)
mult166_in: (b,f)
mult190_in: (b,f)
mult229_in: (b,f)
mult261_in: (b,f)
mult300_in: (b,f)
mult340_in: (b,f)
mult379_in: (b,f)
mult427_in: (b,f)
mult14_in: (b,f,f)
mult3_in: (b,f,f) (b,b,f)
mult456_in: (b,f,f,f) (b,f,b,f)
Transforming Prolog into the following Term Rewriting System:
Pi-finite rewrite system:
The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)

Infinitary Constructor Rewriting Termination of PiTRS implies Termination of Prolog

(4) Obligation:

Pi-finite rewrite system:
The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)

(5) DependencyPairsProof (EQUIVALENT transformation)

Using Dependency Pairs [AG00,LOPSTR] we result in the following initial DP problem:
Pi DP problem:
The TRS P consists of the following rules:

TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → U79_GGA(T27, T29, mult25_in_ga(T27, T29))
TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(s(T27), T29) → U1_GA(T27, T29, mult25_in_ga(T27, T29))
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(T30, s(T32)) → U2_GA(T30, T32, mult25_in_ga(T30, T32))
MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → U80_GGA(T30, T32, mult25_in_ga(T30, T32))
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(T33), 0, s(T36)) → U81_GGA(T33, T36, mult25_in_ga(T33, T36))
TIMES1_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U82_GGA(T52, T54, mult64_in_ga(T52, T54))
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → U3_GA(T52, T54, mult64_in_ga(T52, T54))
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(T55, s(s(T57))) → U4_GA(T55, T57, mult72_in_ga(T55, T57))
MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → U5_GA(T52, T54, mult64_in_ga(T52, T54))
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → U6_GA(T55, T57, mult72_in_ga(T55, T57))
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U83_GGA(T55, T57, mult72_in_ga(T55, T57))
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → U84_GGA(T58, T61, mult72_in_ga(T58, T61))
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_GGA(T80, T82, mult111_in_ga(T80, T82))
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → U7_GA(T80, T82, mult111_in_ga(T80, T82))
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(T83, s(s(s(T85)))) → U8_GA(T83, T85, mult127_in_ga(T83, T85))
MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → U9_GA(T80, T82, mult111_in_ga(T80, T82))
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → U10_GA(T83, T85, mult127_in_ga(T83, T85))
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_GGA(T83, T85, mult127_in_ga(T83, T85))
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U87_GGA(T86, T89, mult127_in_ga(T86, T89))
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_GGA(T111, T113, mult166_in_ga(T111, T113))
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → U11_GA(T111, T113, mult166_in_ga(T111, T113))
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(T114, s(s(s(s(T116))))) → U12_GA(T114, T116, mult190_in_ga(T114, T116))
MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → U13_GA(T111, T113, mult166_in_ga(T111, T113))
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → U14_GA(T114, T116, mult190_in_ga(T114, T116))
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_GGA(T114, T116, mult190_in_ga(T114, T116))
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_GGA(T117, T120, mult190_in_ga(T117, T120))
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_GGA(T145, T147, mult229_in_ga(T145, T147))
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → U15_GA(T145, T147, mult229_in_ga(T145, T147))
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → U16_GA(T148, T150, mult261_in_ga(T148, T150))
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → U17_GA(T145, T147, mult229_in_ga(T145, T147))
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → U18_GA(T148, T150, mult261_in_ga(T148, T150))
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_GGA(T148, T150, mult261_in_ga(T148, T150))
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_GGA(T151, T154, mult261_in_ga(T151, T154))
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_GGA(T182, T184, mult300_in_ga(T182, T184))
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → U19_GA(T182, T184, mult300_in_ga(T182, T184))
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → U20_GA(T185, T187, mult340_in_ga(T185, T187))
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → U21_GA(T182, T184, mult300_in_ga(T182, T184))
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → U22_GA(T185, T187, mult340_in_ga(T185, T187))
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_GGA(T185, T187, mult340_in_ga(T185, T187))
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_GGA(T188, T191, mult340_in_ga(T188, T191))
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_GGA(T222, T224, mult379_in_ga(T222, T224))
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → U23_GA(T222, T224, mult379_in_ga(T222, T224))
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → U24_GA(T225, T227, mult427_in_ga(T225, T227))
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → U25_GA(T222, T224, mult379_in_ga(T222, T224))
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → U26_GA(T225, T227, mult427_in_ga(T225, T227))
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_GGA(T225, T227, mult427_in_ga(T225, T227))
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_GGA(T228, T231, mult427_in_ga(T228, T231))
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(s(T27)), 0, T29) → U27_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT14_IN_GAA(s(s(T27)), 0, T29) → MULT25_IN_GA(T27, T29)
MULT14_IN_GAA(s(T30), 0, s(T32)) → U28_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT14_IN_GAA(s(T30), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT14_IN_GAA(T33, 0, s(T36)) → U29_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT14_IN_GAA(T33, 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → U30_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → U31_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT14_IN_GAA(T58, s(0), s(s(T61))) → U32_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT14_IN_GAA(T58, s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → U35_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → U51_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → U52_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GAA(s(T33), 0, s(T36)) → U53_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GAA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → U54_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → U56_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → U76_GAAA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → U77_GAAA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → U78_GAAA(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT3_IN_GAA(T248, T249, s(T251)) → U75_GAA(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT456_IN_GAGA(s(T238), T239, 0, T241) → U76_GAGA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAGA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → U77_GAGA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → U78_GAGA(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)
TIMES1_IN_GGA(T248, T249, s(T251)) → U103_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
TIMES1_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → U51_GGA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → U52_GGA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GGA(s(T33), 0, s(T36)) → U53_GGA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U54_GGA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GGA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → U56_GGA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GGA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GGA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GGA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GGA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GGA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GGA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GGA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GGA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GGA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GGA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GGA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GGA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GGA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GGA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GGA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT3_IN_GGA(T248, T249, s(T251)) → U75_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
TIMES1_IN_GGA(x1, x2, x3)  =  TIMES1_IN_GGA(x1, x2)
U79_GGA(x1, x2, x3)  =  U79_GGA(x3)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)
U1_GA(x1, x2, x3)  =  U1_GA(x3)
U2_GA(x1, x2, x3)  =  U2_GA(x3)
U80_GGA(x1, x2, x3)  =  U80_GGA(x3)
U81_GGA(x1, x2, x3)  =  U81_GGA(x3)
U82_GGA(x1, x2, x3)  =  U82_GGA(x3)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
U3_GA(x1, x2, x3)  =  U3_GA(x3)
U4_GA(x1, x2, x3)  =  U4_GA(x3)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)
U5_GA(x1, x2, x3)  =  U5_GA(x3)
U6_GA(x1, x2, x3)  =  U6_GA(x3)
U83_GGA(x1, x2, x3)  =  U83_GGA(x3)
U84_GGA(x1, x2, x3)  =  U84_GGA(x3)
U85_GGA(x1, x2, x3)  =  U85_GGA(x3)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
U7_GA(x1, x2, x3)  =  U7_GA(x3)
U8_GA(x1, x2, x3)  =  U8_GA(x3)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)
U9_GA(x1, x2, x3)  =  U9_GA(x3)
U10_GA(x1, x2, x3)  =  U10_GA(x3)
U86_GGA(x1, x2, x3)  =  U86_GGA(x3)
U87_GGA(x1, x2, x3)  =  U87_GGA(x3)
U88_GGA(x1, x2, x3)  =  U88_GGA(x3)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
U11_GA(x1, x2, x3)  =  U11_GA(x3)
U12_GA(x1, x2, x3)  =  U12_GA(x3)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)
U13_GA(x1, x2, x3)  =  U13_GA(x3)
U14_GA(x1, x2, x3)  =  U14_GA(x3)
U89_GGA(x1, x2, x3)  =  U89_GGA(x3)
U90_GGA(x1, x2, x3)  =  U90_GGA(x3)
U91_GGA(x1, x2, x3)  =  U91_GGA(x3)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
U15_GA(x1, x2, x3)  =  U15_GA(x3)
U16_GA(x1, x2, x3)  =  U16_GA(x3)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)
U17_GA(x1, x2, x3)  =  U17_GA(x3)
U18_GA(x1, x2, x3)  =  U18_GA(x3)
U92_GGA(x1, x2, x3)  =  U92_GGA(x3)
U93_GGA(x1, x2, x3)  =  U93_GGA(x3)
U94_GGA(x1, x2, x3)  =  U94_GGA(x3)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
U19_GA(x1, x2, x3)  =  U19_GA(x3)
U20_GA(x1, x2, x3)  =  U20_GA(x3)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)
U21_GA(x1, x2, x3)  =  U21_GA(x3)
U22_GA(x1, x2, x3)  =  U22_GA(x3)
U95_GGA(x1, x2, x3)  =  U95_GGA(x3)
U96_GGA(x1, x2, x3)  =  U96_GGA(x3)
U97_GGA(x1, x2, x3)  =  U97_GGA(x3)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
U23_GA(x1, x2, x3)  =  U23_GA(x3)
U24_GA(x1, x2, x3)  =  U24_GA(x3)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)
U25_GA(x1, x2, x3)  =  U25_GA(x3)
U26_GA(x1, x2, x3)  =  U26_GA(x3)
U98_GGA(x1, x2, x3)  =  U98_GGA(x3)
U99_GGA(x1, x2, x3)  =  U99_GGA(x3)
U100_GGA(x1, x2, x3)  =  U100_GGA(x3)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
U27_GAA(x1, x2, x3)  =  U27_GAA(x3)
U28_GAA(x1, x2, x3)  =  U28_GAA(x3)
U29_GAA(x1, x2, x3)  =  U29_GAA(x3)
U30_GAA(x1, x2, x3)  =  U30_GAA(x3)
U31_GAA(x1, x2, x3)  =  U31_GAA(x3)
U32_GAA(x1, x2, x3)  =  U32_GAA(x3)
U33_GAA(x1, x2, x3)  =  U33_GAA(x3)
U34_GAA(x1, x2, x3)  =  U34_GAA(x3)
U35_GAA(x1, x2, x3)  =  U35_GAA(x3)
U36_GAA(x1, x2, x3)  =  U36_GAA(x3)
U37_GAA(x1, x2, x3)  =  U37_GAA(x3)
U38_GAA(x1, x2, x3)  =  U38_GAA(x3)
U39_GAA(x1, x2, x3)  =  U39_GAA(x3)
U40_GAA(x1, x2, x3)  =  U40_GAA(x3)
U41_GAA(x1, x2, x3)  =  U41_GAA(x3)
U42_GAA(x1, x2, x3)  =  U42_GAA(x3)
U43_GAA(x1, x2, x3)  =  U43_GAA(x3)
U44_GAA(x1, x2, x3)  =  U44_GAA(x3)
U45_GAA(x1, x2, x3)  =  U45_GAA(x3)
U46_GAA(x1, x2, x3)  =  U46_GAA(x3)
U47_GAA(x1, x2, x3)  =  U47_GAA(x3)
U48_GAA(x1, x2, x3)  =  U48_GAA(x3)
U49_GAA(x1, x2, x3)  =  U49_GAA(x3)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
U51_GAA(x1, x2, x3)  =  U51_GAA(x3)
U52_GAA(x1, x2, x3)  =  U52_GAA(x3)
U53_GAA(x1, x2, x3)  =  U53_GAA(x3)
U54_GAA(x1, x2, x3)  =  U54_GAA(x3)
U55_GAA(x1, x2, x3)  =  U55_GAA(x3)
U56_GAA(x1, x2, x3)  =  U56_GAA(x3)
U57_GAA(x1, x2, x3)  =  U57_GAA(x3)
U58_GAA(x1, x2, x3)  =  U58_GAA(x3)
U59_GAA(x1, x2, x3)  =  U59_GAA(x3)
U60_GAA(x1, x2, x3)  =  U60_GAA(x3)
U61_GAA(x1, x2, x3)  =  U61_GAA(x3)
U62_GAA(x1, x2, x3)  =  U62_GAA(x3)
U63_GAA(x1, x2, x3)  =  U63_GAA(x3)
U64_GAA(x1, x2, x3)  =  U64_GAA(x3)
U65_GAA(x1, x2, x3)  =  U65_GAA(x3)
U66_GAA(x1, x2, x3)  =  U66_GAA(x3)
U67_GAA(x1, x2, x3)  =  U67_GAA(x3)
U68_GAA(x1, x2, x3)  =  U68_GAA(x3)
U69_GAA(x1, x2, x3)  =  U69_GAA(x3)
U70_GAA(x1, x2, x3)  =  U70_GAA(x3)
U71_GAA(x1, x2, x3)  =  U71_GAA(x3)
U72_GAA(x1, x2, x3)  =  U72_GAA(x3)
U50_GAA(x1, x2, x3, x4)  =  U50_GAA(x4)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)
U76_GAAA(x1, x2, x3, x4)  =  U76_GAAA(x4)
U77_GAAA(x1, x2, x3, x4)  =  U77_GAAA(x4)
U73_GAA(x1, x2, x3)  =  U73_GAA(x3)
U74_GAA(x1, x2, x3, x4)  =  U74_GAA(x4)
U78_GAAA(x1, x2, x3, x4, x5)  =  U78_GAAA(x5)
U75_GAA(x1, x2, x3, x4)  =  U75_GAA(x4)
U101_GGA(x1, x2, x3)  =  U101_GGA(x3)
U102_GGA(x1, x2, x3, x4)  =  U102_GGA(x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)
U76_GAGA(x1, x2, x3, x4)  =  U76_GAGA(x4)
U77_GAGA(x1, x2, x3, x4)  =  U77_GAGA(x4)
U78_GAGA(x1, x2, x3, x4, x5)  =  U78_GAGA(x5)
U103_GGA(x1, x2, x3, x4)  =  U103_GGA(x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)
U51_GGA(x1, x2, x3)  =  U51_GGA(x3)
U52_GGA(x1, x2, x3)  =  U52_GGA(x3)
U53_GGA(x1, x2, x3)  =  U53_GGA(x3)
U54_GGA(x1, x2, x3)  =  U54_GGA(x3)
U55_GGA(x1, x2, x3)  =  U55_GGA(x3)
U56_GGA(x1, x2, x3)  =  U56_GGA(x3)
U57_GGA(x1, x2, x3)  =  U57_GGA(x3)
U58_GGA(x1, x2, x3)  =  U58_GGA(x3)
U59_GGA(x1, x2, x3)  =  U59_GGA(x3)
U60_GGA(x1, x2, x3)  =  U60_GGA(x3)
U61_GGA(x1, x2, x3)  =  U61_GGA(x3)
U62_GGA(x1, x2, x3)  =  U62_GGA(x3)
U63_GGA(x1, x2, x3)  =  U63_GGA(x3)
U64_GGA(x1, x2, x3)  =  U64_GGA(x3)
U65_GGA(x1, x2, x3)  =  U65_GGA(x3)
U66_GGA(x1, x2, x3)  =  U66_GGA(x3)
U67_GGA(x1, x2, x3)  =  U67_GGA(x3)
U68_GGA(x1, x2, x3)  =  U68_GGA(x3)
U69_GGA(x1, x2, x3)  =  U69_GGA(x3)
U70_GGA(x1, x2, x3)  =  U70_GGA(x3)
U71_GGA(x1, x2, x3)  =  U71_GGA(x3)
U72_GGA(x1, x2, x3)  =  U72_GGA(x3)
U73_GGA(x1, x2, x3)  =  U73_GGA(x3)
U74_GGA(x1, x2, x3, x4)  =  U74_GGA(x4)
U75_GGA(x1, x2, x3, x4)  =  U75_GGA(x4)

We have to consider all (P,R,Pi)-chains

(6) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → U79_GGA(T27, T29, mult25_in_ga(T27, T29))
TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(s(T27), T29) → U1_GA(T27, T29, mult25_in_ga(T27, T29))
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(T30, s(T32)) → U2_GA(T30, T32, mult25_in_ga(T30, T32))
MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → U80_GGA(T30, T32, mult25_in_ga(T30, T32))
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(T33), 0, s(T36)) → U81_GGA(T33, T36, mult25_in_ga(T33, T36))
TIMES1_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U82_GGA(T52, T54, mult64_in_ga(T52, T54))
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → U3_GA(T52, T54, mult64_in_ga(T52, T54))
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(T55, s(s(T57))) → U4_GA(T55, T57, mult72_in_ga(T55, T57))
MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → U5_GA(T52, T54, mult64_in_ga(T52, T54))
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → U6_GA(T55, T57, mult72_in_ga(T55, T57))
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U83_GGA(T55, T57, mult72_in_ga(T55, T57))
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → U84_GGA(T58, T61, mult72_in_ga(T58, T61))
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_GGA(T80, T82, mult111_in_ga(T80, T82))
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → U7_GA(T80, T82, mult111_in_ga(T80, T82))
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(T83, s(s(s(T85)))) → U8_GA(T83, T85, mult127_in_ga(T83, T85))
MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → U9_GA(T80, T82, mult111_in_ga(T80, T82))
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → U10_GA(T83, T85, mult127_in_ga(T83, T85))
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_GGA(T83, T85, mult127_in_ga(T83, T85))
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U87_GGA(T86, T89, mult127_in_ga(T86, T89))
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_GGA(T111, T113, mult166_in_ga(T111, T113))
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → U11_GA(T111, T113, mult166_in_ga(T111, T113))
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(T114, s(s(s(s(T116))))) → U12_GA(T114, T116, mult190_in_ga(T114, T116))
MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → U13_GA(T111, T113, mult166_in_ga(T111, T113))
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → U14_GA(T114, T116, mult190_in_ga(T114, T116))
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_GGA(T114, T116, mult190_in_ga(T114, T116))
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_GGA(T117, T120, mult190_in_ga(T117, T120))
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_GGA(T145, T147, mult229_in_ga(T145, T147))
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → U15_GA(T145, T147, mult229_in_ga(T145, T147))
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → U16_GA(T148, T150, mult261_in_ga(T148, T150))
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → U17_GA(T145, T147, mult229_in_ga(T145, T147))
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → U18_GA(T148, T150, mult261_in_ga(T148, T150))
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_GGA(T148, T150, mult261_in_ga(T148, T150))
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_GGA(T151, T154, mult261_in_ga(T151, T154))
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_GGA(T182, T184, mult300_in_ga(T182, T184))
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → U19_GA(T182, T184, mult300_in_ga(T182, T184))
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → U20_GA(T185, T187, mult340_in_ga(T185, T187))
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → U21_GA(T182, T184, mult300_in_ga(T182, T184))
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → U22_GA(T185, T187, mult340_in_ga(T185, T187))
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_GGA(T185, T187, mult340_in_ga(T185, T187))
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_GGA(T188, T191, mult340_in_ga(T188, T191))
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_GGA(T222, T224, mult379_in_ga(T222, T224))
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → U23_GA(T222, T224, mult379_in_ga(T222, T224))
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → U24_GA(T225, T227, mult427_in_ga(T225, T227))
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → U25_GA(T222, T224, mult379_in_ga(T222, T224))
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → U26_GA(T225, T227, mult427_in_ga(T225, T227))
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_GGA(T225, T227, mult427_in_ga(T225, T227))
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_GGA(T228, T231, mult427_in_ga(T228, T231))
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(s(T27)), 0, T29) → U27_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT14_IN_GAA(s(s(T27)), 0, T29) → MULT25_IN_GA(T27, T29)
MULT14_IN_GAA(s(T30), 0, s(T32)) → U28_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT14_IN_GAA(s(T30), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT14_IN_GAA(T33, 0, s(T36)) → U29_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT14_IN_GAA(T33, 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → U30_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → U31_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT14_IN_GAA(T58, s(0), s(s(T61))) → U32_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT14_IN_GAA(T58, s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → U35_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → U51_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → U52_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GAA(s(T33), 0, s(T36)) → U53_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GAA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → U54_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → U56_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → U76_GAAA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → U77_GAAA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → U78_GAAA(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT3_IN_GAA(T248, T249, s(T251)) → U75_GAA(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT456_IN_GAGA(s(T238), T239, 0, T241) → U76_GAGA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAGA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → U77_GAGA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → U78_GAGA(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)
TIMES1_IN_GGA(T248, T249, s(T251)) → U103_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
TIMES1_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → U51_GGA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → U52_GGA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GGA(s(T33), 0, s(T36)) → U53_GGA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U54_GGA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GGA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → U56_GGA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GGA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GGA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GGA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GGA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GGA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GGA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GGA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GGA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GGA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GGA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GGA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GGA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GGA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GGA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GGA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT3_IN_GGA(T248, T249, s(T251)) → U75_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
TIMES1_IN_GGA(x1, x2, x3)  =  TIMES1_IN_GGA(x1, x2)
U79_GGA(x1, x2, x3)  =  U79_GGA(x3)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)
U1_GA(x1, x2, x3)  =  U1_GA(x3)
U2_GA(x1, x2, x3)  =  U2_GA(x3)
U80_GGA(x1, x2, x3)  =  U80_GGA(x3)
U81_GGA(x1, x2, x3)  =  U81_GGA(x3)
U82_GGA(x1, x2, x3)  =  U82_GGA(x3)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
U3_GA(x1, x2, x3)  =  U3_GA(x3)
U4_GA(x1, x2, x3)  =  U4_GA(x3)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)
U5_GA(x1, x2, x3)  =  U5_GA(x3)
U6_GA(x1, x2, x3)  =  U6_GA(x3)
U83_GGA(x1, x2, x3)  =  U83_GGA(x3)
U84_GGA(x1, x2, x3)  =  U84_GGA(x3)
U85_GGA(x1, x2, x3)  =  U85_GGA(x3)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
U7_GA(x1, x2, x3)  =  U7_GA(x3)
U8_GA(x1, x2, x3)  =  U8_GA(x3)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)
U9_GA(x1, x2, x3)  =  U9_GA(x3)
U10_GA(x1, x2, x3)  =  U10_GA(x3)
U86_GGA(x1, x2, x3)  =  U86_GGA(x3)
U87_GGA(x1, x2, x3)  =  U87_GGA(x3)
U88_GGA(x1, x2, x3)  =  U88_GGA(x3)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
U11_GA(x1, x2, x3)  =  U11_GA(x3)
U12_GA(x1, x2, x3)  =  U12_GA(x3)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)
U13_GA(x1, x2, x3)  =  U13_GA(x3)
U14_GA(x1, x2, x3)  =  U14_GA(x3)
U89_GGA(x1, x2, x3)  =  U89_GGA(x3)
U90_GGA(x1, x2, x3)  =  U90_GGA(x3)
U91_GGA(x1, x2, x3)  =  U91_GGA(x3)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
U15_GA(x1, x2, x3)  =  U15_GA(x3)
U16_GA(x1, x2, x3)  =  U16_GA(x3)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)
U17_GA(x1, x2, x3)  =  U17_GA(x3)
U18_GA(x1, x2, x3)  =  U18_GA(x3)
U92_GGA(x1, x2, x3)  =  U92_GGA(x3)
U93_GGA(x1, x2, x3)  =  U93_GGA(x3)
U94_GGA(x1, x2, x3)  =  U94_GGA(x3)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
U19_GA(x1, x2, x3)  =  U19_GA(x3)
U20_GA(x1, x2, x3)  =  U20_GA(x3)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)
U21_GA(x1, x2, x3)  =  U21_GA(x3)
U22_GA(x1, x2, x3)  =  U22_GA(x3)
U95_GGA(x1, x2, x3)  =  U95_GGA(x3)
U96_GGA(x1, x2, x3)  =  U96_GGA(x3)
U97_GGA(x1, x2, x3)  =  U97_GGA(x3)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
U23_GA(x1, x2, x3)  =  U23_GA(x3)
U24_GA(x1, x2, x3)  =  U24_GA(x3)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)
U25_GA(x1, x2, x3)  =  U25_GA(x3)
U26_GA(x1, x2, x3)  =  U26_GA(x3)
U98_GGA(x1, x2, x3)  =  U98_GGA(x3)
U99_GGA(x1, x2, x3)  =  U99_GGA(x3)
U100_GGA(x1, x2, x3)  =  U100_GGA(x3)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
U27_GAA(x1, x2, x3)  =  U27_GAA(x3)
U28_GAA(x1, x2, x3)  =  U28_GAA(x3)
U29_GAA(x1, x2, x3)  =  U29_GAA(x3)
U30_GAA(x1, x2, x3)  =  U30_GAA(x3)
U31_GAA(x1, x2, x3)  =  U31_GAA(x3)
U32_GAA(x1, x2, x3)  =  U32_GAA(x3)
U33_GAA(x1, x2, x3)  =  U33_GAA(x3)
U34_GAA(x1, x2, x3)  =  U34_GAA(x3)
U35_GAA(x1, x2, x3)  =  U35_GAA(x3)
U36_GAA(x1, x2, x3)  =  U36_GAA(x3)
U37_GAA(x1, x2, x3)  =  U37_GAA(x3)
U38_GAA(x1, x2, x3)  =  U38_GAA(x3)
U39_GAA(x1, x2, x3)  =  U39_GAA(x3)
U40_GAA(x1, x2, x3)  =  U40_GAA(x3)
U41_GAA(x1, x2, x3)  =  U41_GAA(x3)
U42_GAA(x1, x2, x3)  =  U42_GAA(x3)
U43_GAA(x1, x2, x3)  =  U43_GAA(x3)
U44_GAA(x1, x2, x3)  =  U44_GAA(x3)
U45_GAA(x1, x2, x3)  =  U45_GAA(x3)
U46_GAA(x1, x2, x3)  =  U46_GAA(x3)
U47_GAA(x1, x2, x3)  =  U47_GAA(x3)
U48_GAA(x1, x2, x3)  =  U48_GAA(x3)
U49_GAA(x1, x2, x3)  =  U49_GAA(x3)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
U51_GAA(x1, x2, x3)  =  U51_GAA(x3)
U52_GAA(x1, x2, x3)  =  U52_GAA(x3)
U53_GAA(x1, x2, x3)  =  U53_GAA(x3)
U54_GAA(x1, x2, x3)  =  U54_GAA(x3)
U55_GAA(x1, x2, x3)  =  U55_GAA(x3)
U56_GAA(x1, x2, x3)  =  U56_GAA(x3)
U57_GAA(x1, x2, x3)  =  U57_GAA(x3)
U58_GAA(x1, x2, x3)  =  U58_GAA(x3)
U59_GAA(x1, x2, x3)  =  U59_GAA(x3)
U60_GAA(x1, x2, x3)  =  U60_GAA(x3)
U61_GAA(x1, x2, x3)  =  U61_GAA(x3)
U62_GAA(x1, x2, x3)  =  U62_GAA(x3)
U63_GAA(x1, x2, x3)  =  U63_GAA(x3)
U64_GAA(x1, x2, x3)  =  U64_GAA(x3)
U65_GAA(x1, x2, x3)  =  U65_GAA(x3)
U66_GAA(x1, x2, x3)  =  U66_GAA(x3)
U67_GAA(x1, x2, x3)  =  U67_GAA(x3)
U68_GAA(x1, x2, x3)  =  U68_GAA(x3)
U69_GAA(x1, x2, x3)  =  U69_GAA(x3)
U70_GAA(x1, x2, x3)  =  U70_GAA(x3)
U71_GAA(x1, x2, x3)  =  U71_GAA(x3)
U72_GAA(x1, x2, x3)  =  U72_GAA(x3)
U50_GAA(x1, x2, x3, x4)  =  U50_GAA(x4)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)
U76_GAAA(x1, x2, x3, x4)  =  U76_GAAA(x4)
U77_GAAA(x1, x2, x3, x4)  =  U77_GAAA(x4)
U73_GAA(x1, x2, x3)  =  U73_GAA(x3)
U74_GAA(x1, x2, x3, x4)  =  U74_GAA(x4)
U78_GAAA(x1, x2, x3, x4, x5)  =  U78_GAAA(x5)
U75_GAA(x1, x2, x3, x4)  =  U75_GAA(x4)
U101_GGA(x1, x2, x3)  =  U101_GGA(x3)
U102_GGA(x1, x2, x3, x4)  =  U102_GGA(x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)
U76_GAGA(x1, x2, x3, x4)  =  U76_GAGA(x4)
U77_GAGA(x1, x2, x3, x4)  =  U77_GAGA(x4)
U78_GAGA(x1, x2, x3, x4, x5)  =  U78_GAGA(x5)
U103_GGA(x1, x2, x3, x4)  =  U103_GGA(x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)
U51_GGA(x1, x2, x3)  =  U51_GGA(x3)
U52_GGA(x1, x2, x3)  =  U52_GGA(x3)
U53_GGA(x1, x2, x3)  =  U53_GGA(x3)
U54_GGA(x1, x2, x3)  =  U54_GGA(x3)
U55_GGA(x1, x2, x3)  =  U55_GGA(x3)
U56_GGA(x1, x2, x3)  =  U56_GGA(x3)
U57_GGA(x1, x2, x3)  =  U57_GGA(x3)
U58_GGA(x1, x2, x3)  =  U58_GGA(x3)
U59_GGA(x1, x2, x3)  =  U59_GGA(x3)
U60_GGA(x1, x2, x3)  =  U60_GGA(x3)
U61_GGA(x1, x2, x3)  =  U61_GGA(x3)
U62_GGA(x1, x2, x3)  =  U62_GGA(x3)
U63_GGA(x1, x2, x3)  =  U63_GGA(x3)
U64_GGA(x1, x2, x3)  =  U64_GGA(x3)
U65_GGA(x1, x2, x3)  =  U65_GGA(x3)
U66_GGA(x1, x2, x3)  =  U66_GGA(x3)
U67_GGA(x1, x2, x3)  =  U67_GGA(x3)
U68_GGA(x1, x2, x3)  =  U68_GGA(x3)
U69_GGA(x1, x2, x3)  =  U69_GGA(x3)
U70_GGA(x1, x2, x3)  =  U70_GGA(x3)
U71_GGA(x1, x2, x3)  =  U71_GGA(x3)
U72_GGA(x1, x2, x3)  =  U72_GGA(x3)
U73_GGA(x1, x2, x3)  =  U73_GGA(x3)
U74_GGA(x1, x2, x3, x4)  =  U74_GGA(x4)
U75_GGA(x1, x2, x3, x4)  =  U75_GGA(x4)

We have to consider all (P,R,Pi)-chains

(7) DependencyGraphProof (EQUIVALENT transformation)

The approximation of the Dependency Graph [LOPSTR] contains 10 SCCs with 224 less nodes.

(8) Complex Obligation (AND)

(9) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(10) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(11) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(12) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(13) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225) → MULT427_IN_GA(T225)
MULT427_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT379_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT427_IN_GA(T225) → MULT427_IN_GA(T225)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(14) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT379_IN_GA(T225) → MULT427_IN_GA(T225)
MULT427_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT379_IN_GA(s(T222)) → MULT379_IN_GA(T222)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT379_IN_GA(x1)) = 2 + x1   
POL(MULT427_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(15) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT427_IN_GA(T225) → MULT427_IN_GA(T225)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(16) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT427_IN_GA(T225) evaluates to t =MULT427_IN_GA(T225)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT427_IN_GA(T225) to MULT427_IN_GA(T225).



(17) FALSE

(18) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(19) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(20) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(21) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(22) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185) → MULT340_IN_GA(T185)
MULT340_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT300_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT340_IN_GA(T185) → MULT340_IN_GA(T185)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(23) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT300_IN_GA(T185) → MULT340_IN_GA(T185)
MULT340_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT300_IN_GA(s(T182)) → MULT300_IN_GA(T182)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT300_IN_GA(x1)) = 2 + x1   
POL(MULT340_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(24) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT340_IN_GA(T185) → MULT340_IN_GA(T185)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(25) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT340_IN_GA(T185) evaluates to t =MULT340_IN_GA(T185)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT340_IN_GA(T185) to MULT340_IN_GA(T185).



(26) FALSE

(27) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(28) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(29) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(30) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(31) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148) → MULT261_IN_GA(T148)
MULT261_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT229_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT261_IN_GA(T148) → MULT261_IN_GA(T148)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(32) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT229_IN_GA(T148) → MULT261_IN_GA(T148)
MULT261_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT229_IN_GA(s(T145)) → MULT229_IN_GA(T145)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT229_IN_GA(x1)) = 2 + x1   
POL(MULT261_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(33) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT261_IN_GA(T148) → MULT261_IN_GA(T148)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(34) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT261_IN_GA(T148) evaluates to t =MULT261_IN_GA(T148)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT261_IN_GA(T148) to MULT261_IN_GA(T148).



(35) FALSE

(36) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(37) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(38) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(39) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(40) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114) → MULT190_IN_GA(T114)
MULT190_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT166_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT190_IN_GA(T114) → MULT190_IN_GA(T114)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(41) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT166_IN_GA(T114) → MULT190_IN_GA(T114)
MULT190_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT166_IN_GA(s(T111)) → MULT166_IN_GA(T111)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT166_IN_GA(x1)) = 2 + x1   
POL(MULT190_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(42) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT190_IN_GA(T114) → MULT190_IN_GA(T114)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(43) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT190_IN_GA(T114) evaluates to t =MULT190_IN_GA(T114)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT190_IN_GA(T114) to MULT190_IN_GA(T114).



(44) FALSE

(45) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(46) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(47) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(48) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(49) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83) → MULT127_IN_GA(T83)
MULT127_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT111_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT127_IN_GA(T83) → MULT127_IN_GA(T83)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(50) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT111_IN_GA(T83) → MULT127_IN_GA(T83)
MULT127_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT111_IN_GA(s(T80)) → MULT111_IN_GA(T80)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT111_IN_GA(x1)) = 2 + x1   
POL(MULT127_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(51) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT127_IN_GA(T83) → MULT127_IN_GA(T83)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(52) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT127_IN_GA(T83) evaluates to t =MULT127_IN_GA(T83)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT127_IN_GA(T83) to MULT127_IN_GA(T83).



(53) FALSE

(54) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(55) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(56) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(57) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(58) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55) → MULT72_IN_GA(T55)
MULT72_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT64_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT72_IN_GA(T55) → MULT72_IN_GA(T55)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(59) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT64_IN_GA(T55) → MULT72_IN_GA(T55)
MULT72_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT64_IN_GA(s(T52)) → MULT64_IN_GA(T52)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT64_IN_GA(x1)) = 2 + x1   
POL(MULT72_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(60) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT72_IN_GA(T55) → MULT72_IN_GA(T55)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(61) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT72_IN_GA(T55) evaluates to t =MULT72_IN_GA(T55)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT72_IN_GA(T55) to MULT72_IN_GA(T55).



(62) FALSE

(63) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(64) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(65) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(66) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(67) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30) → MULT25_IN_GA(T30)
MULT25_IN_GA(s(T27)) → MULT25_IN_GA(T27)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(68) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT25_IN_GA(s(T27)) → MULT25_IN_GA(T27)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT25_IN_GA(x1)) = 2·x1   
POL(s(x1)) = 2·x1   

(69) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30) → MULT25_IN_GA(T30)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(70) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT25_IN_GA(T30) evaluates to t =MULT25_IN_GA(T30)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT25_IN_GA(T30) to MULT25_IN_GA(T30).



(71) FALSE

(72) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)

We have to consider all (P,R,Pi)-chains

(73) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(74) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)

R is empty.
The argument filtering Pi contains the following mapping:
0  =  0
s(x1)  =  s(x1)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)

We have to consider all (P,R,Pi)-chains

(75) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(76) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238))) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)
MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(77) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT3_IN_GAA(s(s(T238))) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(T242) → MULT3_IN_GAA(T242)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT14_IN_GAA(x1)) = 2 + 2·x1   
POL(MULT3_IN_GAA(x1)) = x1   
POL(MULT456_IN_GAAA(x1)) = 1 + 2·x1   
POL(s(x1)) = 2 + 2·x1   

(78) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)
MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(79) DependencyGraphProof (EQUIVALENT transformation)

The approximation of the Dependency Graph [LPAR04,FROCOS05,EDGSTAR] contains 2 SCCs.

(80) Complex Obligation (AND)

(81) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(82) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT456_IN_GAAA(T242) evaluates to t =MULT456_IN_GAAA(T242)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT456_IN_GAAA(T242) to MULT456_IN_GAAA(T242).



(83) FALSE

(84) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(85) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT3_IN_GAA(T248) evaluates to t =MULT3_IN_GAA(T248)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT3_IN_GAA(T248) to MULT3_IN_GAA(T248).



(86) FALSE

(87) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)

We have to consider all (P,R,Pi)-chains

(88) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(89) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)

We have to consider all (P,R,Pi)-chains

(90) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(91) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, s(T247)) → MULT456_IN_GAGA(T242, T247)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(92) QDPSizeChangeProof (EQUIVALENT transformation)

By using the subterm criterion [SUBTERM_CRITERION] together with the size-change analysis [AAECC05] we have proven that there are no infinite chains for this DP problem.

From the DPs we obtained the following set of size-change graphs:

  • MULT456_IN_GAGA(T242, s(T247)) → MULT456_IN_GAGA(T242, T247)
    The graph contains the following edges 1 >= 1, 2 > 2

(93) TRUE

(94) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x2)
U1_ga(x1, x2, x3)  =  U1_ga(x3)
U2_ga(x1, x2, x3)  =  U2_ga(x3)
U80_gga(x1, x2, x3)  =  U80_gga(x3)
U81_gga(x1, x2, x3)  =  U81_gga(x3)
U82_gga(x1, x2, x3)  =  U82_gga(x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x2)
U3_ga(x1, x2, x3)  =  U3_ga(x3)
U4_ga(x1, x2, x3)  =  U4_ga(x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x2)
U5_ga(x1, x2, x3)  =  U5_ga(x3)
U6_ga(x1, x2, x3)  =  U6_ga(x3)
U83_gga(x1, x2, x3)  =  U83_gga(x3)
U84_gga(x1, x2, x3)  =  U84_gga(x3)
U85_gga(x1, x2, x3)  =  U85_gga(x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x2)
U7_ga(x1, x2, x3)  =  U7_ga(x3)
U8_ga(x1, x2, x3)  =  U8_ga(x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x2)
U9_ga(x1, x2, x3)  =  U9_ga(x3)
U10_ga(x1, x2, x3)  =  U10_ga(x3)
U86_gga(x1, x2, x3)  =  U86_gga(x3)
U87_gga(x1, x2, x3)  =  U87_gga(x3)
U88_gga(x1, x2, x3)  =  U88_gga(x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x2)
U11_ga(x1, x2, x3)  =  U11_ga(x3)
U12_ga(x1, x2, x3)  =  U12_ga(x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x2)
U13_ga(x1, x2, x3)  =  U13_ga(x3)
U14_ga(x1, x2, x3)  =  U14_ga(x3)
U89_gga(x1, x2, x3)  =  U89_gga(x3)
U90_gga(x1, x2, x3)  =  U90_gga(x3)
U91_gga(x1, x2, x3)  =  U91_gga(x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x2)
U15_ga(x1, x2, x3)  =  U15_ga(x3)
U16_ga(x1, x2, x3)  =  U16_ga(x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x2)
U17_ga(x1, x2, x3)  =  U17_ga(x3)
U18_ga(x1, x2, x3)  =  U18_ga(x3)
U92_gga(x1, x2, x3)  =  U92_gga(x3)
U93_gga(x1, x2, x3)  =  U93_gga(x3)
U94_gga(x1, x2, x3)  =  U94_gga(x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x2)
U19_ga(x1, x2, x3)  =  U19_ga(x3)
U20_ga(x1, x2, x3)  =  U20_ga(x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x2)
U21_ga(x1, x2, x3)  =  U21_ga(x3)
U22_ga(x1, x2, x3)  =  U22_ga(x3)
U95_gga(x1, x2, x3)  =  U95_gga(x3)
U96_gga(x1, x2, x3)  =  U96_gga(x3)
U97_gga(x1, x2, x3)  =  U97_gga(x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x2)
U23_ga(x1, x2, x3)  =  U23_ga(x3)
U24_ga(x1, x2, x3)  =  U24_ga(x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x2)
U25_ga(x1, x2, x3)  =  U25_ga(x3)
U26_ga(x1, x2, x3)  =  U26_ga(x3)
U98_gga(x1, x2, x3)  =  U98_gga(x3)
U99_gga(x1, x2, x3)  =  U99_gga(x3)
U100_gga(x1, x2, x3)  =  U100_gga(x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x4)
U101_gga(x1, x2, x3)  =  U101_gga(x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x3)
U51_gga(x1, x2, x3)  =  U51_gga(x3)
U52_gga(x1, x2, x3)  =  U52_gga(x3)
U53_gga(x1, x2, x3)  =  U53_gga(x3)
U54_gga(x1, x2, x3)  =  U54_gga(x3)
U55_gga(x1, x2, x3)  =  U55_gga(x3)
U56_gga(x1, x2, x3)  =  U56_gga(x3)
U57_gga(x1, x2, x3)  =  U57_gga(x3)
U58_gga(x1, x2, x3)  =  U58_gga(x3)
U59_gga(x1, x2, x3)  =  U59_gga(x3)
U60_gga(x1, x2, x3)  =  U60_gga(x3)
U61_gga(x1, x2, x3)  =  U61_gga(x3)
U62_gga(x1, x2, x3)  =  U62_gga(x3)
U63_gga(x1, x2, x3)  =  U63_gga(x3)
U64_gga(x1, x2, x3)  =  U64_gga(x3)
U65_gga(x1, x2, x3)  =  U65_gga(x3)
U66_gga(x1, x2, x3)  =  U66_gga(x3)
U67_gga(x1, x2, x3)  =  U67_gga(x3)
U68_gga(x1, x2, x3)  =  U68_gga(x3)
U69_gga(x1, x2, x3)  =  U69_gga(x3)
U70_gga(x1, x2, x3)  =  U70_gga(x3)
U71_gga(x1, x2, x3)  =  U71_gga(x3)
U72_gga(x1, x2, x3)  =  U72_gga(x3)
U73_gga(x1, x2, x3)  =  U73_gga(x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)

We have to consider all (P,R,Pi)-chains

(95) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(96) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)

We have to consider all (P,R,Pi)-chains

(97) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(98) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249) → MULT3_IN_GGA(T248, T249)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(99) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT3_IN_GGA(T248, T249) evaluates to t =MULT3_IN_GGA(T248, T249)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT3_IN_GGA(T248, T249) to MULT3_IN_GGA(T248, T249).



(100) FALSE

(101) PrologToPiTRSProof (SOUND transformation)

We use the technique of [LOPSTR]. With regard to the inferred argument filtering the predicates were used in the following modes:
times1_in: (b,b,f)
mult25_in: (b,f)
mult64_in: (b,f)
mult72_in: (b,f)
mult111_in: (b,f)
mult127_in: (b,f)
mult166_in: (b,f)
mult190_in: (b,f)
mult229_in: (b,f)
mult261_in: (b,f)
mult300_in: (b,f)
mult340_in: (b,f)
mult379_in: (b,f)
mult427_in: (b,f)
mult14_in: (b,f,f)
mult3_in: (b,f,f) (b,b,f)
mult456_in: (b,f,f,f) (b,f,b,f)
Transforming Prolog into the following Term Rewriting System:
Pi-finite rewrite system:
The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)

Infinitary Constructor Rewriting Termination of PiTRS implies Termination of Prolog

(102) Obligation:

Pi-finite rewrite system:
The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)

(103) DependencyPairsProof (EQUIVALENT transformation)

Using Dependency Pairs [AG00,LOPSTR] we result in the following initial DP problem:
Pi DP problem:
The TRS P consists of the following rules:

TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → U79_GGA(T27, T29, mult25_in_ga(T27, T29))
TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(s(T27), T29) → U1_GA(T27, T29, mult25_in_ga(T27, T29))
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(T30, s(T32)) → U2_GA(T30, T32, mult25_in_ga(T30, T32))
MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → U80_GGA(T30, T32, mult25_in_ga(T30, T32))
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(T33), 0, s(T36)) → U81_GGA(T33, T36, mult25_in_ga(T33, T36))
TIMES1_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U82_GGA(T52, T54, mult64_in_ga(T52, T54))
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → U3_GA(T52, T54, mult64_in_ga(T52, T54))
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(T55, s(s(T57))) → U4_GA(T55, T57, mult72_in_ga(T55, T57))
MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → U5_GA(T52, T54, mult64_in_ga(T52, T54))
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → U6_GA(T55, T57, mult72_in_ga(T55, T57))
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U83_GGA(T55, T57, mult72_in_ga(T55, T57))
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → U84_GGA(T58, T61, mult72_in_ga(T58, T61))
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_GGA(T80, T82, mult111_in_ga(T80, T82))
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → U7_GA(T80, T82, mult111_in_ga(T80, T82))
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(T83, s(s(s(T85)))) → U8_GA(T83, T85, mult127_in_ga(T83, T85))
MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → U9_GA(T80, T82, mult111_in_ga(T80, T82))
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → U10_GA(T83, T85, mult127_in_ga(T83, T85))
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_GGA(T83, T85, mult127_in_ga(T83, T85))
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U87_GGA(T86, T89, mult127_in_ga(T86, T89))
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_GGA(T111, T113, mult166_in_ga(T111, T113))
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → U11_GA(T111, T113, mult166_in_ga(T111, T113))
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(T114, s(s(s(s(T116))))) → U12_GA(T114, T116, mult190_in_ga(T114, T116))
MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → U13_GA(T111, T113, mult166_in_ga(T111, T113))
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → U14_GA(T114, T116, mult190_in_ga(T114, T116))
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_GGA(T114, T116, mult190_in_ga(T114, T116))
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_GGA(T117, T120, mult190_in_ga(T117, T120))
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_GGA(T145, T147, mult229_in_ga(T145, T147))
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → U15_GA(T145, T147, mult229_in_ga(T145, T147))
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → U16_GA(T148, T150, mult261_in_ga(T148, T150))
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → U17_GA(T145, T147, mult229_in_ga(T145, T147))
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → U18_GA(T148, T150, mult261_in_ga(T148, T150))
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_GGA(T148, T150, mult261_in_ga(T148, T150))
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_GGA(T151, T154, mult261_in_ga(T151, T154))
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_GGA(T182, T184, mult300_in_ga(T182, T184))
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → U19_GA(T182, T184, mult300_in_ga(T182, T184))
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → U20_GA(T185, T187, mult340_in_ga(T185, T187))
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → U21_GA(T182, T184, mult300_in_ga(T182, T184))
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → U22_GA(T185, T187, mult340_in_ga(T185, T187))
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_GGA(T185, T187, mult340_in_ga(T185, T187))
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_GGA(T188, T191, mult340_in_ga(T188, T191))
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_GGA(T222, T224, mult379_in_ga(T222, T224))
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → U23_GA(T222, T224, mult379_in_ga(T222, T224))
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → U24_GA(T225, T227, mult427_in_ga(T225, T227))
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → U25_GA(T222, T224, mult379_in_ga(T222, T224))
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → U26_GA(T225, T227, mult427_in_ga(T225, T227))
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_GGA(T225, T227, mult427_in_ga(T225, T227))
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_GGA(T228, T231, mult427_in_ga(T228, T231))
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(s(T27)), 0, T29) → U27_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT14_IN_GAA(s(s(T27)), 0, T29) → MULT25_IN_GA(T27, T29)
MULT14_IN_GAA(s(T30), 0, s(T32)) → U28_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT14_IN_GAA(s(T30), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT14_IN_GAA(T33, 0, s(T36)) → U29_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT14_IN_GAA(T33, 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → U30_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → U31_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT14_IN_GAA(T58, s(0), s(s(T61))) → U32_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT14_IN_GAA(T58, s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → U35_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → U51_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → U52_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GAA(s(T33), 0, s(T36)) → U53_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GAA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → U54_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → U56_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → U76_GAAA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → U77_GAAA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → U78_GAAA(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT3_IN_GAA(T248, T249, s(T251)) → U75_GAA(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT456_IN_GAGA(s(T238), T239, 0, T241) → U76_GAGA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAGA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → U77_GAGA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → U78_GAGA(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)
TIMES1_IN_GGA(T248, T249, s(T251)) → U103_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
TIMES1_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → U51_GGA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → U52_GGA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GGA(s(T33), 0, s(T36)) → U53_GGA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U54_GGA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GGA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → U56_GGA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GGA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GGA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GGA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GGA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GGA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GGA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GGA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GGA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GGA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GGA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GGA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GGA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GGA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GGA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GGA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT3_IN_GGA(T248, T249, s(T251)) → U75_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
TIMES1_IN_GGA(x1, x2, x3)  =  TIMES1_IN_GGA(x1, x2)
U79_GGA(x1, x2, x3)  =  U79_GGA(x1, x3)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)
U1_GA(x1, x2, x3)  =  U1_GA(x1, x3)
U2_GA(x1, x2, x3)  =  U2_GA(x1, x3)
U80_GGA(x1, x2, x3)  =  U80_GGA(x1, x3)
U81_GGA(x1, x2, x3)  =  U81_GGA(x1, x3)
U82_GGA(x1, x2, x3)  =  U82_GGA(x1, x3)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
U3_GA(x1, x2, x3)  =  U3_GA(x1, x3)
U4_GA(x1, x2, x3)  =  U4_GA(x1, x3)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)
U5_GA(x1, x2, x3)  =  U5_GA(x1, x3)
U6_GA(x1, x2, x3)  =  U6_GA(x1, x3)
U83_GGA(x1, x2, x3)  =  U83_GGA(x1, x3)
U84_GGA(x1, x2, x3)  =  U84_GGA(x1, x3)
U85_GGA(x1, x2, x3)  =  U85_GGA(x1, x3)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
U7_GA(x1, x2, x3)  =  U7_GA(x1, x3)
U8_GA(x1, x2, x3)  =  U8_GA(x1, x3)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)
U9_GA(x1, x2, x3)  =  U9_GA(x1, x3)
U10_GA(x1, x2, x3)  =  U10_GA(x1, x3)
U86_GGA(x1, x2, x3)  =  U86_GGA(x1, x3)
U87_GGA(x1, x2, x3)  =  U87_GGA(x1, x3)
U88_GGA(x1, x2, x3)  =  U88_GGA(x1, x3)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
U11_GA(x1, x2, x3)  =  U11_GA(x1, x3)
U12_GA(x1, x2, x3)  =  U12_GA(x1, x3)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)
U13_GA(x1, x2, x3)  =  U13_GA(x1, x3)
U14_GA(x1, x2, x3)  =  U14_GA(x1, x3)
U89_GGA(x1, x2, x3)  =  U89_GGA(x1, x3)
U90_GGA(x1, x2, x3)  =  U90_GGA(x1, x3)
U91_GGA(x1, x2, x3)  =  U91_GGA(x1, x3)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
U15_GA(x1, x2, x3)  =  U15_GA(x1, x3)
U16_GA(x1, x2, x3)  =  U16_GA(x1, x3)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)
U17_GA(x1, x2, x3)  =  U17_GA(x1, x3)
U18_GA(x1, x2, x3)  =  U18_GA(x1, x3)
U92_GGA(x1, x2, x3)  =  U92_GGA(x1, x3)
U93_GGA(x1, x2, x3)  =  U93_GGA(x1, x3)
U94_GGA(x1, x2, x3)  =  U94_GGA(x1, x3)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
U19_GA(x1, x2, x3)  =  U19_GA(x1, x3)
U20_GA(x1, x2, x3)  =  U20_GA(x1, x3)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)
U21_GA(x1, x2, x3)  =  U21_GA(x1, x3)
U22_GA(x1, x2, x3)  =  U22_GA(x1, x3)
U95_GGA(x1, x2, x3)  =  U95_GGA(x1, x3)
U96_GGA(x1, x2, x3)  =  U96_GGA(x1, x3)
U97_GGA(x1, x2, x3)  =  U97_GGA(x1, x3)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
U23_GA(x1, x2, x3)  =  U23_GA(x1, x3)
U24_GA(x1, x2, x3)  =  U24_GA(x1, x3)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)
U25_GA(x1, x2, x3)  =  U25_GA(x1, x3)
U26_GA(x1, x2, x3)  =  U26_GA(x1, x3)
U98_GGA(x1, x2, x3)  =  U98_GGA(x1, x3)
U99_GGA(x1, x2, x3)  =  U99_GGA(x1, x3)
U100_GGA(x1, x2, x3)  =  U100_GGA(x1, x3)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
U27_GAA(x1, x2, x3)  =  U27_GAA(x1, x3)
U28_GAA(x1, x2, x3)  =  U28_GAA(x1, x3)
U29_GAA(x1, x2, x3)  =  U29_GAA(x1, x3)
U30_GAA(x1, x2, x3)  =  U30_GAA(x1, x3)
U31_GAA(x1, x2, x3)  =  U31_GAA(x1, x3)
U32_GAA(x1, x2, x3)  =  U32_GAA(x1, x3)
U33_GAA(x1, x2, x3)  =  U33_GAA(x1, x3)
U34_GAA(x1, x2, x3)  =  U34_GAA(x1, x3)
U35_GAA(x1, x2, x3)  =  U35_GAA(x1, x3)
U36_GAA(x1, x2, x3)  =  U36_GAA(x1, x3)
U37_GAA(x1, x2, x3)  =  U37_GAA(x1, x3)
U38_GAA(x1, x2, x3)  =  U38_GAA(x1, x3)
U39_GAA(x1, x2, x3)  =  U39_GAA(x1, x3)
U40_GAA(x1, x2, x3)  =  U40_GAA(x1, x3)
U41_GAA(x1, x2, x3)  =  U41_GAA(x1, x3)
U42_GAA(x1, x2, x3)  =  U42_GAA(x1, x3)
U43_GAA(x1, x2, x3)  =  U43_GAA(x1, x3)
U44_GAA(x1, x2, x3)  =  U44_GAA(x1, x3)
U45_GAA(x1, x2, x3)  =  U45_GAA(x1, x3)
U46_GAA(x1, x2, x3)  =  U46_GAA(x1, x3)
U47_GAA(x1, x2, x3)  =  U47_GAA(x1, x3)
U48_GAA(x1, x2, x3)  =  U48_GAA(x1, x3)
U49_GAA(x1, x2, x3)  =  U49_GAA(x1, x3)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
U51_GAA(x1, x2, x3)  =  U51_GAA(x1, x3)
U52_GAA(x1, x2, x3)  =  U52_GAA(x1, x3)
U53_GAA(x1, x2, x3)  =  U53_GAA(x1, x3)
U54_GAA(x1, x2, x3)  =  U54_GAA(x1, x3)
U55_GAA(x1, x2, x3)  =  U55_GAA(x1, x3)
U56_GAA(x1, x2, x3)  =  U56_GAA(x1, x3)
U57_GAA(x1, x2, x3)  =  U57_GAA(x1, x3)
U58_GAA(x1, x2, x3)  =  U58_GAA(x1, x3)
U59_GAA(x1, x2, x3)  =  U59_GAA(x1, x3)
U60_GAA(x1, x2, x3)  =  U60_GAA(x1, x3)
U61_GAA(x1, x2, x3)  =  U61_GAA(x1, x3)
U62_GAA(x1, x2, x3)  =  U62_GAA(x1, x3)
U63_GAA(x1, x2, x3)  =  U63_GAA(x1, x3)
U64_GAA(x1, x2, x3)  =  U64_GAA(x1, x3)
U65_GAA(x1, x2, x3)  =  U65_GAA(x1, x3)
U66_GAA(x1, x2, x3)  =  U66_GAA(x1, x3)
U67_GAA(x1, x2, x3)  =  U67_GAA(x1, x3)
U68_GAA(x1, x2, x3)  =  U68_GAA(x1, x3)
U69_GAA(x1, x2, x3)  =  U69_GAA(x1, x3)
U70_GAA(x1, x2, x3)  =  U70_GAA(x1, x3)
U71_GAA(x1, x2, x3)  =  U71_GAA(x1, x3)
U72_GAA(x1, x2, x3)  =  U72_GAA(x1, x3)
U50_GAA(x1, x2, x3, x4)  =  U50_GAA(x1, x4)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)
U76_GAAA(x1, x2, x3, x4)  =  U76_GAAA(x1, x4)
U77_GAAA(x1, x2, x3, x4)  =  U77_GAAA(x1, x4)
U73_GAA(x1, x2, x3)  =  U73_GAA(x1, x3)
U74_GAA(x1, x2, x3, x4)  =  U74_GAA(x1, x4)
U78_GAAA(x1, x2, x3, x4, x5)  =  U78_GAAA(x1, x5)
U75_GAA(x1, x2, x3, x4)  =  U75_GAA(x1, x4)
U101_GGA(x1, x2, x3)  =  U101_GGA(x1, x3)
U102_GGA(x1, x2, x3, x4)  =  U102_GGA(x1, x2, x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)
U76_GAGA(x1, x2, x3, x4)  =  U76_GAGA(x1, x4)
U77_GAGA(x1, x2, x3, x4)  =  U77_GAGA(x1, x4)
U78_GAGA(x1, x2, x3, x4, x5)  =  U78_GAGA(x1, x3, x5)
U103_GGA(x1, x2, x3, x4)  =  U103_GGA(x1, x2, x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)
U51_GGA(x1, x2, x3)  =  U51_GGA(x1, x3)
U52_GGA(x1, x2, x3)  =  U52_GGA(x1, x3)
U53_GGA(x1, x2, x3)  =  U53_GGA(x1, x3)
U54_GGA(x1, x2, x3)  =  U54_GGA(x1, x3)
U55_GGA(x1, x2, x3)  =  U55_GGA(x1, x3)
U56_GGA(x1, x2, x3)  =  U56_GGA(x1, x3)
U57_GGA(x1, x2, x3)  =  U57_GGA(x1, x3)
U58_GGA(x1, x2, x3)  =  U58_GGA(x1, x3)
U59_GGA(x1, x2, x3)  =  U59_GGA(x1, x3)
U60_GGA(x1, x2, x3)  =  U60_GGA(x1, x3)
U61_GGA(x1, x2, x3)  =  U61_GGA(x1, x3)
U62_GGA(x1, x2, x3)  =  U62_GGA(x1, x3)
U63_GGA(x1, x2, x3)  =  U63_GGA(x1, x3)
U64_GGA(x1, x2, x3)  =  U64_GGA(x1, x3)
U65_GGA(x1, x2, x3)  =  U65_GGA(x1, x3)
U66_GGA(x1, x2, x3)  =  U66_GGA(x1, x3)
U67_GGA(x1, x2, x3)  =  U67_GGA(x1, x3)
U68_GGA(x1, x2, x3)  =  U68_GGA(x1, x3)
U69_GGA(x1, x2, x3)  =  U69_GGA(x1, x3)
U70_GGA(x1, x2, x3)  =  U70_GGA(x1, x3)
U71_GGA(x1, x2, x3)  =  U71_GGA(x1, x3)
U72_GGA(x1, x2, x3)  =  U72_GGA(x1, x3)
U73_GGA(x1, x2, x3)  =  U73_GGA(x1, x3)
U74_GGA(x1, x2, x3, x4)  =  U74_GGA(x1, x2, x4)
U75_GGA(x1, x2, x3, x4)  =  U75_GGA(x1, x2, x4)

We have to consider all (P,R,Pi)-chains

(104) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → U79_GGA(T27, T29, mult25_in_ga(T27, T29))
TIMES1_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(s(T27), T29) → U1_GA(T27, T29, mult25_in_ga(T27, T29))
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)
MULT25_IN_GA(T30, s(T32)) → U2_GA(T30, T32, mult25_in_ga(T30, T32))
MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → U80_GGA(T30, T32, mult25_in_ga(T30, T32))
TIMES1_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
TIMES1_IN_GGA(s(T33), 0, s(T36)) → U81_GGA(T33, T36, mult25_in_ga(T33, T36))
TIMES1_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U82_GGA(T52, T54, mult64_in_ga(T52, T54))
TIMES1_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → U3_GA(T52, T54, mult64_in_ga(T52, T54))
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(T55, s(s(T57))) → U4_GA(T55, T57, mult72_in_ga(T55, T57))
MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → U5_GA(T52, T54, mult64_in_ga(T52, T54))
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → U6_GA(T55, T57, mult72_in_ga(T55, T57))
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U83_GGA(T55, T57, mult72_in_ga(T55, T57))
TIMES1_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → U84_GGA(T58, T61, mult72_in_ga(T58, T61))
TIMES1_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_GGA(T80, T82, mult111_in_ga(T80, T82))
TIMES1_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → U7_GA(T80, T82, mult111_in_ga(T80, T82))
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(T83, s(s(s(T85)))) → U8_GA(T83, T85, mult127_in_ga(T83, T85))
MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → U9_GA(T80, T82, mult111_in_ga(T80, T82))
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → U10_GA(T83, T85, mult127_in_ga(T83, T85))
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_GGA(T83, T85, mult127_in_ga(T83, T85))
TIMES1_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U87_GGA(T86, T89, mult127_in_ga(T86, T89))
TIMES1_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_GGA(T111, T113, mult166_in_ga(T111, T113))
TIMES1_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → U11_GA(T111, T113, mult166_in_ga(T111, T113))
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(T114, s(s(s(s(T116))))) → U12_GA(T114, T116, mult190_in_ga(T114, T116))
MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → U13_GA(T111, T113, mult166_in_ga(T111, T113))
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → U14_GA(T114, T116, mult190_in_ga(T114, T116))
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_GGA(T114, T116, mult190_in_ga(T114, T116))
TIMES1_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_GGA(T117, T120, mult190_in_ga(T117, T120))
TIMES1_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_GGA(T145, T147, mult229_in_ga(T145, T147))
TIMES1_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → U15_GA(T145, T147, mult229_in_ga(T145, T147))
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → U16_GA(T148, T150, mult261_in_ga(T148, T150))
MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → U17_GA(T145, T147, mult229_in_ga(T145, T147))
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → U18_GA(T148, T150, mult261_in_ga(T148, T150))
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_GGA(T148, T150, mult261_in_ga(T148, T150))
TIMES1_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_GGA(T151, T154, mult261_in_ga(T151, T154))
TIMES1_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_GGA(T182, T184, mult300_in_ga(T182, T184))
TIMES1_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → U19_GA(T182, T184, mult300_in_ga(T182, T184))
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → U20_GA(T185, T187, mult340_in_ga(T185, T187))
MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → U21_GA(T182, T184, mult300_in_ga(T182, T184))
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → U22_GA(T185, T187, mult340_in_ga(T185, T187))
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_GGA(T185, T187, mult340_in_ga(T185, T187))
TIMES1_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_GGA(T188, T191, mult340_in_ga(T188, T191))
TIMES1_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_GGA(T222, T224, mult379_in_ga(T222, T224))
TIMES1_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → U23_GA(T222, T224, mult379_in_ga(T222, T224))
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → U24_GA(T225, T227, mult427_in_ga(T225, T227))
MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → U25_GA(T222, T224, mult379_in_ga(T222, T224))
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → U26_GA(T225, T227, mult427_in_ga(T225, T227))
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_GGA(T225, T227, mult427_in_ga(T225, T227))
TIMES1_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_GGA(T228, T231, mult427_in_ga(T228, T231))
TIMES1_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
TIMES1_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(s(T27)), 0, T29) → U27_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT14_IN_GAA(s(s(T27)), 0, T29) → MULT25_IN_GA(T27, T29)
MULT14_IN_GAA(s(T30), 0, s(T32)) → U28_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT14_IN_GAA(s(T30), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT14_IN_GAA(T33, 0, s(T36)) → U29_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT14_IN_GAA(T33, 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → U30_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT14_IN_GAA(s(s(T52)), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → U31_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT14_IN_GAA(s(T55), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT14_IN_GAA(T58, s(0), s(s(T61))) → U32_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT14_IN_GAA(T58, s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT14_IN_GAA(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT14_IN_GAA(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → U35_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT14_IN_GAA(T86, s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT14_IN_GAA(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT14_IN_GAA(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT14_IN_GAA(T117, s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT14_IN_GAA(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT14_IN_GAA(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT14_IN_GAA(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT14_IN_GAA(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT14_IN_GAA(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT14_IN_GAA(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT14_IN_GAA(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT14_IN_GAA(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT14_IN_GAA(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → U51_GAA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GAA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → U52_GAA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GAA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GAA(s(T33), 0, s(T36)) → U53_GAA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GAA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → U54_GAA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GAA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GAA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GAA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → U56_GAA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GAA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GAA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GAA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GAA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GAA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GAA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GAA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GAA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GAA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GAA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GAA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GAA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GAA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GAA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GAA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GAA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GAA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GAA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GAA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GAA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GAA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GAA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GAA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GAA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GAA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GAA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GAA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GAA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GAA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GAA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GAA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GAA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → U76_GAAA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → U77_GAAA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GAA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GAA(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → U78_GAAA(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT3_IN_GAA(T248, T249, s(T251)) → U75_GAA(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
TIMES1_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT456_IN_GAGA(s(T238), T239, 0, T241) → U76_GAGA(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
MULT456_IN_GAGA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → U77_GAGA(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
MULT456_IN_GAGA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → U78_GAGA(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)
TIMES1_IN_GGA(T248, T249, s(T251)) → U103_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
TIMES1_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → U51_GGA(T27, T29, mult25_in_ga(T27, T29))
MULT3_IN_GGA(s(s(s(T27))), 0, T29) → MULT25_IN_GA(T27, T29)
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → U52_GGA(T30, T32, mult25_in_ga(T30, T32))
MULT3_IN_GGA(s(s(T30)), 0, s(T32)) → MULT25_IN_GA(T30, T32)
MULT3_IN_GGA(s(T33), 0, s(T36)) → U53_GGA(T33, T36, mult25_in_ga(T33, T36))
MULT3_IN_GGA(s(T33), 0, s(T36)) → MULT25_IN_GA(T33, T36)
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → U54_GGA(T52, T54, mult64_in_ga(T52, T54))
MULT3_IN_GGA(s(s(s(T52))), s(0), s(s(T54))) → MULT64_IN_GA(T52, T54)
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → U55_GGA(T55, T57, mult72_in_ga(T55, T57))
MULT3_IN_GGA(s(s(T55)), s(0), s(s(s(T57)))) → MULT72_IN_GA(T55, T57)
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → U56_GGA(T58, T61, mult72_in_ga(T58, T61))
MULT3_IN_GGA(s(T58), s(0), s(s(T61))) → MULT72_IN_GA(T58, T61)
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_GGA(T80, T82, mult111_in_ga(T80, T82))
MULT3_IN_GGA(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → MULT111_IN_GA(T80, T82)
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_GGA(T83, T85, mult127_in_ga(T83, T85))
MULT3_IN_GGA(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → MULT127_IN_GA(T83, T85)
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → U59_GGA(T86, T89, mult127_in_ga(T86, T89))
MULT3_IN_GGA(s(T86), s(s(0)), s(s(s(T89)))) → MULT127_IN_GA(T86, T89)
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_GGA(T111, T113, mult166_in_ga(T111, T113))
MULT3_IN_GGA(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → MULT166_IN_GA(T111, T113)
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_GGA(T114, T116, mult190_in_ga(T114, T116))
MULT3_IN_GGA(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → MULT190_IN_GA(T114, T116)
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_GGA(T117, T120, mult190_in_ga(T117, T120))
MULT3_IN_GGA(s(T117), s(s(s(0))), s(s(s(s(T120))))) → MULT190_IN_GA(T117, T120)
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_GGA(T145, T147, mult229_in_ga(T145, T147))
MULT3_IN_GGA(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → MULT229_IN_GA(T145, T147)
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_GGA(T148, T150, mult261_in_ga(T148, T150))
MULT3_IN_GGA(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → MULT261_IN_GA(T148, T150)
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_GGA(T151, T154, mult261_in_ga(T151, T154))
MULT3_IN_GGA(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → MULT261_IN_GA(T151, T154)
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_GGA(T182, T184, mult300_in_ga(T182, T184))
MULT3_IN_GGA(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → MULT300_IN_GA(T182, T184)
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_GGA(T185, T187, mult340_in_ga(T185, T187))
MULT3_IN_GGA(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → MULT340_IN_GA(T185, T187)
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_GGA(T188, T191, mult340_in_ga(T188, T191))
MULT3_IN_GGA(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → MULT340_IN_GA(T188, T191)
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_GGA(T222, T224, mult379_in_ga(T222, T224))
MULT3_IN_GGA(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → MULT379_IN_GA(T222, T224)
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_GGA(T225, T227, mult427_in_ga(T225, T227))
MULT3_IN_GGA(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → MULT427_IN_GA(T225, T227)
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_GGA(T228, T231, mult427_in_ga(T228, T231))
MULT3_IN_GGA(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → MULT427_IN_GA(T228, T231)
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_GGA(T238, T241, mult14_in_gaa(T238, s(T239), T241))
MULT3_IN_GGA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_GGA(T242, T246, mult3_in_gaa(T242, s(T243), T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_GGA(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
MULT3_IN_GGA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAGA(T242, T243, T247, T246)
MULT3_IN_GGA(T248, T249, s(T251)) → U75_GGA(T248, T249, T251, mult3_in_gga(T248, T249, T251))
MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
TIMES1_IN_GGA(x1, x2, x3)  =  TIMES1_IN_GGA(x1, x2)
U79_GGA(x1, x2, x3)  =  U79_GGA(x1, x3)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)
U1_GA(x1, x2, x3)  =  U1_GA(x1, x3)
U2_GA(x1, x2, x3)  =  U2_GA(x1, x3)
U80_GGA(x1, x2, x3)  =  U80_GGA(x1, x3)
U81_GGA(x1, x2, x3)  =  U81_GGA(x1, x3)
U82_GGA(x1, x2, x3)  =  U82_GGA(x1, x3)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
U3_GA(x1, x2, x3)  =  U3_GA(x1, x3)
U4_GA(x1, x2, x3)  =  U4_GA(x1, x3)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)
U5_GA(x1, x2, x3)  =  U5_GA(x1, x3)
U6_GA(x1, x2, x3)  =  U6_GA(x1, x3)
U83_GGA(x1, x2, x3)  =  U83_GGA(x1, x3)
U84_GGA(x1, x2, x3)  =  U84_GGA(x1, x3)
U85_GGA(x1, x2, x3)  =  U85_GGA(x1, x3)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
U7_GA(x1, x2, x3)  =  U7_GA(x1, x3)
U8_GA(x1, x2, x3)  =  U8_GA(x1, x3)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)
U9_GA(x1, x2, x3)  =  U9_GA(x1, x3)
U10_GA(x1, x2, x3)  =  U10_GA(x1, x3)
U86_GGA(x1, x2, x3)  =  U86_GGA(x1, x3)
U87_GGA(x1, x2, x3)  =  U87_GGA(x1, x3)
U88_GGA(x1, x2, x3)  =  U88_GGA(x1, x3)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
U11_GA(x1, x2, x3)  =  U11_GA(x1, x3)
U12_GA(x1, x2, x3)  =  U12_GA(x1, x3)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)
U13_GA(x1, x2, x3)  =  U13_GA(x1, x3)
U14_GA(x1, x2, x3)  =  U14_GA(x1, x3)
U89_GGA(x1, x2, x3)  =  U89_GGA(x1, x3)
U90_GGA(x1, x2, x3)  =  U90_GGA(x1, x3)
U91_GGA(x1, x2, x3)  =  U91_GGA(x1, x3)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
U15_GA(x1, x2, x3)  =  U15_GA(x1, x3)
U16_GA(x1, x2, x3)  =  U16_GA(x1, x3)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)
U17_GA(x1, x2, x3)  =  U17_GA(x1, x3)
U18_GA(x1, x2, x3)  =  U18_GA(x1, x3)
U92_GGA(x1, x2, x3)  =  U92_GGA(x1, x3)
U93_GGA(x1, x2, x3)  =  U93_GGA(x1, x3)
U94_GGA(x1, x2, x3)  =  U94_GGA(x1, x3)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
U19_GA(x1, x2, x3)  =  U19_GA(x1, x3)
U20_GA(x1, x2, x3)  =  U20_GA(x1, x3)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)
U21_GA(x1, x2, x3)  =  U21_GA(x1, x3)
U22_GA(x1, x2, x3)  =  U22_GA(x1, x3)
U95_GGA(x1, x2, x3)  =  U95_GGA(x1, x3)
U96_GGA(x1, x2, x3)  =  U96_GGA(x1, x3)
U97_GGA(x1, x2, x3)  =  U97_GGA(x1, x3)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
U23_GA(x1, x2, x3)  =  U23_GA(x1, x3)
U24_GA(x1, x2, x3)  =  U24_GA(x1, x3)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)
U25_GA(x1, x2, x3)  =  U25_GA(x1, x3)
U26_GA(x1, x2, x3)  =  U26_GA(x1, x3)
U98_GGA(x1, x2, x3)  =  U98_GGA(x1, x3)
U99_GGA(x1, x2, x3)  =  U99_GGA(x1, x3)
U100_GGA(x1, x2, x3)  =  U100_GGA(x1, x3)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
U27_GAA(x1, x2, x3)  =  U27_GAA(x1, x3)
U28_GAA(x1, x2, x3)  =  U28_GAA(x1, x3)
U29_GAA(x1, x2, x3)  =  U29_GAA(x1, x3)
U30_GAA(x1, x2, x3)  =  U30_GAA(x1, x3)
U31_GAA(x1, x2, x3)  =  U31_GAA(x1, x3)
U32_GAA(x1, x2, x3)  =  U32_GAA(x1, x3)
U33_GAA(x1, x2, x3)  =  U33_GAA(x1, x3)
U34_GAA(x1, x2, x3)  =  U34_GAA(x1, x3)
U35_GAA(x1, x2, x3)  =  U35_GAA(x1, x3)
U36_GAA(x1, x2, x3)  =  U36_GAA(x1, x3)
U37_GAA(x1, x2, x3)  =  U37_GAA(x1, x3)
U38_GAA(x1, x2, x3)  =  U38_GAA(x1, x3)
U39_GAA(x1, x2, x3)  =  U39_GAA(x1, x3)
U40_GAA(x1, x2, x3)  =  U40_GAA(x1, x3)
U41_GAA(x1, x2, x3)  =  U41_GAA(x1, x3)
U42_GAA(x1, x2, x3)  =  U42_GAA(x1, x3)
U43_GAA(x1, x2, x3)  =  U43_GAA(x1, x3)
U44_GAA(x1, x2, x3)  =  U44_GAA(x1, x3)
U45_GAA(x1, x2, x3)  =  U45_GAA(x1, x3)
U46_GAA(x1, x2, x3)  =  U46_GAA(x1, x3)
U47_GAA(x1, x2, x3)  =  U47_GAA(x1, x3)
U48_GAA(x1, x2, x3)  =  U48_GAA(x1, x3)
U49_GAA(x1, x2, x3)  =  U49_GAA(x1, x3)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
U51_GAA(x1, x2, x3)  =  U51_GAA(x1, x3)
U52_GAA(x1, x2, x3)  =  U52_GAA(x1, x3)
U53_GAA(x1, x2, x3)  =  U53_GAA(x1, x3)
U54_GAA(x1, x2, x3)  =  U54_GAA(x1, x3)
U55_GAA(x1, x2, x3)  =  U55_GAA(x1, x3)
U56_GAA(x1, x2, x3)  =  U56_GAA(x1, x3)
U57_GAA(x1, x2, x3)  =  U57_GAA(x1, x3)
U58_GAA(x1, x2, x3)  =  U58_GAA(x1, x3)
U59_GAA(x1, x2, x3)  =  U59_GAA(x1, x3)
U60_GAA(x1, x2, x3)  =  U60_GAA(x1, x3)
U61_GAA(x1, x2, x3)  =  U61_GAA(x1, x3)
U62_GAA(x1, x2, x3)  =  U62_GAA(x1, x3)
U63_GAA(x1, x2, x3)  =  U63_GAA(x1, x3)
U64_GAA(x1, x2, x3)  =  U64_GAA(x1, x3)
U65_GAA(x1, x2, x3)  =  U65_GAA(x1, x3)
U66_GAA(x1, x2, x3)  =  U66_GAA(x1, x3)
U67_GAA(x1, x2, x3)  =  U67_GAA(x1, x3)
U68_GAA(x1, x2, x3)  =  U68_GAA(x1, x3)
U69_GAA(x1, x2, x3)  =  U69_GAA(x1, x3)
U70_GAA(x1, x2, x3)  =  U70_GAA(x1, x3)
U71_GAA(x1, x2, x3)  =  U71_GAA(x1, x3)
U72_GAA(x1, x2, x3)  =  U72_GAA(x1, x3)
U50_GAA(x1, x2, x3, x4)  =  U50_GAA(x1, x4)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)
U76_GAAA(x1, x2, x3, x4)  =  U76_GAAA(x1, x4)
U77_GAAA(x1, x2, x3, x4)  =  U77_GAAA(x1, x4)
U73_GAA(x1, x2, x3)  =  U73_GAA(x1, x3)
U74_GAA(x1, x2, x3, x4)  =  U74_GAA(x1, x4)
U78_GAAA(x1, x2, x3, x4, x5)  =  U78_GAAA(x1, x5)
U75_GAA(x1, x2, x3, x4)  =  U75_GAA(x1, x4)
U101_GGA(x1, x2, x3)  =  U101_GGA(x1, x3)
U102_GGA(x1, x2, x3, x4)  =  U102_GGA(x1, x2, x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)
U76_GAGA(x1, x2, x3, x4)  =  U76_GAGA(x1, x4)
U77_GAGA(x1, x2, x3, x4)  =  U77_GAGA(x1, x4)
U78_GAGA(x1, x2, x3, x4, x5)  =  U78_GAGA(x1, x3, x5)
U103_GGA(x1, x2, x3, x4)  =  U103_GGA(x1, x2, x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)
U51_GGA(x1, x2, x3)  =  U51_GGA(x1, x3)
U52_GGA(x1, x2, x3)  =  U52_GGA(x1, x3)
U53_GGA(x1, x2, x3)  =  U53_GGA(x1, x3)
U54_GGA(x1, x2, x3)  =  U54_GGA(x1, x3)
U55_GGA(x1, x2, x3)  =  U55_GGA(x1, x3)
U56_GGA(x1, x2, x3)  =  U56_GGA(x1, x3)
U57_GGA(x1, x2, x3)  =  U57_GGA(x1, x3)
U58_GGA(x1, x2, x3)  =  U58_GGA(x1, x3)
U59_GGA(x1, x2, x3)  =  U59_GGA(x1, x3)
U60_GGA(x1, x2, x3)  =  U60_GGA(x1, x3)
U61_GGA(x1, x2, x3)  =  U61_GGA(x1, x3)
U62_GGA(x1, x2, x3)  =  U62_GGA(x1, x3)
U63_GGA(x1, x2, x3)  =  U63_GGA(x1, x3)
U64_GGA(x1, x2, x3)  =  U64_GGA(x1, x3)
U65_GGA(x1, x2, x3)  =  U65_GGA(x1, x3)
U66_GGA(x1, x2, x3)  =  U66_GGA(x1, x3)
U67_GGA(x1, x2, x3)  =  U67_GGA(x1, x3)
U68_GGA(x1, x2, x3)  =  U68_GGA(x1, x3)
U69_GGA(x1, x2, x3)  =  U69_GGA(x1, x3)
U70_GGA(x1, x2, x3)  =  U70_GGA(x1, x3)
U71_GGA(x1, x2, x3)  =  U71_GGA(x1, x3)
U72_GGA(x1, x2, x3)  =  U72_GGA(x1, x3)
U73_GGA(x1, x2, x3)  =  U73_GGA(x1, x3)
U74_GGA(x1, x2, x3, x4)  =  U74_GGA(x1, x2, x4)
U75_GGA(x1, x2, x3, x4)  =  U75_GGA(x1, x2, x4)

We have to consider all (P,R,Pi)-chains

(105) DependencyGraphProof (EQUIVALENT transformation)

The approximation of the Dependency Graph [LOPSTR] contains 10 SCCs with 224 less nodes.

(106) Complex Obligation (AND)

(107) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(108) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(109) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225, s(s(s(s(s(s(s(T227)))))))) → MULT427_IN_GA(T225, T227)
MULT427_IN_GA(s(T222), T224) → MULT379_IN_GA(T222, T224)
MULT379_IN_GA(s(T222), s(s(s(s(s(s(T224))))))) → MULT379_IN_GA(T222, T224)
MULT427_IN_GA(T225, s(T227)) → MULT427_IN_GA(T225, T227)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT379_IN_GA(x1, x2)  =  MULT379_IN_GA(x1)
MULT427_IN_GA(x1, x2)  =  MULT427_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(110) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(111) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT379_IN_GA(T225) → MULT427_IN_GA(T225)
MULT427_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT379_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT427_IN_GA(T225) → MULT427_IN_GA(T225)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(112) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT379_IN_GA(T225) → MULT427_IN_GA(T225)
MULT427_IN_GA(s(T222)) → MULT379_IN_GA(T222)
MULT379_IN_GA(s(T222)) → MULT379_IN_GA(T222)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT379_IN_GA(x1)) = 2 + x1   
POL(MULT427_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(113) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT427_IN_GA(T225) → MULT427_IN_GA(T225)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(114) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT427_IN_GA(T225) evaluates to t =MULT427_IN_GA(T225)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT427_IN_GA(T225) to MULT427_IN_GA(T225).



(115) FALSE

(116) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(117) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(118) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185, s(s(s(s(s(s(T187))))))) → MULT340_IN_GA(T185, T187)
MULT340_IN_GA(s(T182), T184) → MULT300_IN_GA(T182, T184)
MULT300_IN_GA(s(T182), s(s(s(s(s(T184)))))) → MULT300_IN_GA(T182, T184)
MULT340_IN_GA(T185, s(T187)) → MULT340_IN_GA(T185, T187)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT300_IN_GA(x1, x2)  =  MULT300_IN_GA(x1)
MULT340_IN_GA(x1, x2)  =  MULT340_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(119) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(120) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT300_IN_GA(T185) → MULT340_IN_GA(T185)
MULT340_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT300_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT340_IN_GA(T185) → MULT340_IN_GA(T185)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(121) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT300_IN_GA(T185) → MULT340_IN_GA(T185)
MULT340_IN_GA(s(T182)) → MULT300_IN_GA(T182)
MULT300_IN_GA(s(T182)) → MULT300_IN_GA(T182)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT300_IN_GA(x1)) = 2 + x1   
POL(MULT340_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(122) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT340_IN_GA(T185) → MULT340_IN_GA(T185)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(123) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT340_IN_GA(T185) evaluates to t =MULT340_IN_GA(T185)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT340_IN_GA(T185) to MULT340_IN_GA(T185).



(124) FALSE

(125) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(126) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(127) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148, s(s(s(s(s(T150)))))) → MULT261_IN_GA(T148, T150)
MULT261_IN_GA(s(T145), T147) → MULT229_IN_GA(T145, T147)
MULT229_IN_GA(s(T145), s(s(s(s(T147))))) → MULT229_IN_GA(T145, T147)
MULT261_IN_GA(T148, s(T150)) → MULT261_IN_GA(T148, T150)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT229_IN_GA(x1, x2)  =  MULT229_IN_GA(x1)
MULT261_IN_GA(x1, x2)  =  MULT261_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(128) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(129) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT229_IN_GA(T148) → MULT261_IN_GA(T148)
MULT261_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT229_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT261_IN_GA(T148) → MULT261_IN_GA(T148)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(130) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT229_IN_GA(T148) → MULT261_IN_GA(T148)
MULT261_IN_GA(s(T145)) → MULT229_IN_GA(T145)
MULT229_IN_GA(s(T145)) → MULT229_IN_GA(T145)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT229_IN_GA(x1)) = 2 + x1   
POL(MULT261_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(131) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT261_IN_GA(T148) → MULT261_IN_GA(T148)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(132) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT261_IN_GA(T148) evaluates to t =MULT261_IN_GA(T148)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT261_IN_GA(T148) to MULT261_IN_GA(T148).



(133) FALSE

(134) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(135) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(136) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114, s(s(s(s(T116))))) → MULT190_IN_GA(T114, T116)
MULT190_IN_GA(s(T111), T113) → MULT166_IN_GA(T111, T113)
MULT166_IN_GA(s(T111), s(s(s(T113)))) → MULT166_IN_GA(T111, T113)
MULT190_IN_GA(T114, s(T116)) → MULT190_IN_GA(T114, T116)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT166_IN_GA(x1, x2)  =  MULT166_IN_GA(x1)
MULT190_IN_GA(x1, x2)  =  MULT190_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(137) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(138) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT166_IN_GA(T114) → MULT190_IN_GA(T114)
MULT190_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT166_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT190_IN_GA(T114) → MULT190_IN_GA(T114)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(139) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT166_IN_GA(T114) → MULT190_IN_GA(T114)
MULT190_IN_GA(s(T111)) → MULT166_IN_GA(T111)
MULT166_IN_GA(s(T111)) → MULT166_IN_GA(T111)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT166_IN_GA(x1)) = 2 + x1   
POL(MULT190_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(140) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT190_IN_GA(T114) → MULT190_IN_GA(T114)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(141) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT190_IN_GA(T114) evaluates to t =MULT190_IN_GA(T114)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT190_IN_GA(T114) to MULT190_IN_GA(T114).



(142) FALSE

(143) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(144) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(145) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83, s(s(s(T85)))) → MULT127_IN_GA(T83, T85)
MULT127_IN_GA(s(T80), T82) → MULT111_IN_GA(T80, T82)
MULT111_IN_GA(s(T80), s(s(T82))) → MULT111_IN_GA(T80, T82)
MULT127_IN_GA(T83, s(T85)) → MULT127_IN_GA(T83, T85)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT111_IN_GA(x1, x2)  =  MULT111_IN_GA(x1)
MULT127_IN_GA(x1, x2)  =  MULT127_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(146) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(147) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT111_IN_GA(T83) → MULT127_IN_GA(T83)
MULT127_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT111_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT127_IN_GA(T83) → MULT127_IN_GA(T83)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(148) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT111_IN_GA(T83) → MULT127_IN_GA(T83)
MULT127_IN_GA(s(T80)) → MULT111_IN_GA(T80)
MULT111_IN_GA(s(T80)) → MULT111_IN_GA(T80)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT111_IN_GA(x1)) = 2 + x1   
POL(MULT127_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(149) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT127_IN_GA(T83) → MULT127_IN_GA(T83)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(150) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT127_IN_GA(T83) evaluates to t =MULT127_IN_GA(T83)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT127_IN_GA(T83) to MULT127_IN_GA(T83).



(151) FALSE

(152) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(153) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(154) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55, s(s(T57))) → MULT72_IN_GA(T55, T57)
MULT72_IN_GA(s(T52), T54) → MULT64_IN_GA(T52, T54)
MULT64_IN_GA(s(T52), s(T54)) → MULT64_IN_GA(T52, T54)
MULT72_IN_GA(T55, s(T57)) → MULT72_IN_GA(T55, T57)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT64_IN_GA(x1, x2)  =  MULT64_IN_GA(x1)
MULT72_IN_GA(x1, x2)  =  MULT72_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(155) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(156) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT64_IN_GA(T55) → MULT72_IN_GA(T55)
MULT72_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT64_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT72_IN_GA(T55) → MULT72_IN_GA(T55)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(157) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT64_IN_GA(T55) → MULT72_IN_GA(T55)
MULT72_IN_GA(s(T52)) → MULT64_IN_GA(T52)
MULT64_IN_GA(s(T52)) → MULT64_IN_GA(T52)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT64_IN_GA(x1)) = 2 + x1   
POL(MULT72_IN_GA(x1)) = 1 + x1   
POL(s(x1)) = 2 + 2·x1   

(158) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT72_IN_GA(T55) → MULT72_IN_GA(T55)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(159) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT72_IN_GA(T55) evaluates to t =MULT72_IN_GA(T55)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT72_IN_GA(T55) to MULT72_IN_GA(T55).



(160) FALSE

(161) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(162) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(163) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30, s(T32)) → MULT25_IN_GA(T30, T32)
MULT25_IN_GA(s(T27), T29) → MULT25_IN_GA(T27, T29)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT25_IN_GA(x1, x2)  =  MULT25_IN_GA(x1)

We have to consider all (P,R,Pi)-chains

(164) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(165) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30) → MULT25_IN_GA(T30)
MULT25_IN_GA(s(T27)) → MULT25_IN_GA(T27)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(166) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT25_IN_GA(s(T27)) → MULT25_IN_GA(T27)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT25_IN_GA(x1)) = 2·x1   
POL(s(x1)) = 2·x1   

(167) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT25_IN_GA(T30) → MULT25_IN_GA(T30)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(168) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT25_IN_GA(T30) evaluates to t =MULT25_IN_GA(T30)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT25_IN_GA(T30) to MULT25_IN_GA(T30).



(169) FALSE

(170) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)

We have to consider all (P,R,Pi)-chains

(171) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(172) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(s(T238), T239, 0, T241) → MULT14_IN_GAA(T238, s(T239), T241)
MULT14_IN_GAA(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → MULT456_IN_GAAA(T242, T243, T247, T246)
MULT456_IN_GAAA(T242, T243, 0, s(T246)) → MULT3_IN_GAA(T242, s(T243), T246)
MULT3_IN_GAA(T248, T249, s(T251)) → MULT3_IN_GAA(T248, T249, T251)
MULT456_IN_GAAA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAAA(T242, T243, T247, T246)

R is empty.
The argument filtering Pi contains the following mapping:
0  =  0
s(x1)  =  s(x1)
MULT14_IN_GAA(x1, x2, x3)  =  MULT14_IN_GAA(x1)
MULT3_IN_GAA(x1, x2, x3)  =  MULT3_IN_GAA(x1)
MULT456_IN_GAAA(x1, x2, x3, x4)  =  MULT456_IN_GAAA(x1)

We have to consider all (P,R,Pi)-chains

(173) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(174) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(s(s(T238))) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)
MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(175) UsableRulesReductionPairsProof (EQUIVALENT transformation)

By using the usable rules with reduction pair processor [LPAR04] with a polynomial ordering [POLO], all dependency pairs and the corresponding usable rules [FROCOS05] can be oriented non-strictly. All non-usable rules are removed, and those dependency pairs and usable rules that have been oriented strictly or contain non-usable symbols in their left-hand side are removed as well.

The following dependency pairs can be deleted:

MULT3_IN_GAA(s(s(T238))) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT3_IN_GAA(T242)
MULT3_IN_GAA(s(T242)) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(s(T238)) → MULT14_IN_GAA(T238)
MULT14_IN_GAA(T242) → MULT456_IN_GAAA(T242)
MULT456_IN_GAAA(T242) → MULT3_IN_GAA(T242)
No rules are removed from R.

Used ordering: POLO with Polynomial interpretation [POLO]:

POL(MULT14_IN_GAA(x1)) = 2 + 2·x1   
POL(MULT3_IN_GAA(x1)) = x1   
POL(MULT456_IN_GAAA(x1)) = 1 + 2·x1   
POL(s(x1)) = 2 + 2·x1   

(176) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)
MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(177) DependencyGraphProof (EQUIVALENT transformation)

The approximation of the Dependency Graph [LPAR04,FROCOS05,EDGSTAR] contains 2 SCCs.

(178) Complex Obligation (AND)

(179) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAAA(T242) → MULT456_IN_GAAA(T242)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(180) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT456_IN_GAAA(T242) evaluates to t =MULT456_IN_GAAA(T242)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT456_IN_GAAA(T242) to MULT456_IN_GAAA(T242).



(181) FALSE

(182) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GAA(T248) → MULT3_IN_GAA(T248)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(183) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT3_IN_GAA(T248) evaluates to t =MULT3_IN_GAA(T248)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Semiunifier: [ ]
  • Matcher: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT3_IN_GAA(T248) to MULT3_IN_GAA(T248).



(184) FALSE

(185) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)

We have to consider all (P,R,Pi)-chains

(186) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(187) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, T243, s(T247), s(T246)) → MULT456_IN_GAGA(T242, T243, T247, T246)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT456_IN_GAGA(x1, x2, x3, x4)  =  MULT456_IN_GAGA(x1, x3)

We have to consider all (P,R,Pi)-chains

(188) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(189) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT456_IN_GAGA(T242, s(T247)) → MULT456_IN_GAGA(T242, T247)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(190) QDPSizeChangeProof (EQUIVALENT transformation)

By using the subterm criterion [SUBTERM_CRITERION] together with the size-change analysis [AAECC05] we have proven that there are no infinite chains for this DP problem.

From the DPs we obtained the following set of size-change graphs:

  • MULT456_IN_GAGA(T242, s(T247)) → MULT456_IN_GAGA(T242, T247)
    The graph contains the following edges 1 >= 1, 2 > 2

(191) TRUE

(192) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

The TRS R consists of the following rules:

times1_in_gga(0, T8, 0) → times1_out_gga(0, T8, 0)
times1_in_gga(s(0), 0, 0) → times1_out_gga(s(0), 0, 0)
times1_in_gga(s(s(0)), 0, 0) → times1_out_gga(s(s(0)), 0, 0)
times1_in_gga(s(s(s(T27))), 0, T29) → U79_gga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(0, 0) → mult25_out_ga(0, 0)
mult25_in_ga(s(T27), T29) → U1_ga(T27, T29, mult25_in_ga(T27, T29))
mult25_in_ga(T30, s(T32)) → U2_ga(T30, T32, mult25_in_ga(T30, T32))
U2_ga(T30, T32, mult25_out_ga(T30, T32)) → mult25_out_ga(T30, s(T32))
U1_ga(T27, T29, mult25_out_ga(T27, T29)) → mult25_out_ga(s(T27), T29)
U79_gga(T27, T29, mult25_out_ga(T27, T29)) → times1_out_gga(s(s(s(T27))), 0, T29)
times1_in_gga(s(s(T30)), 0, s(T32)) → U80_gga(T30, T32, mult25_in_ga(T30, T32))
U80_gga(T30, T32, mult25_out_ga(T30, T32)) → times1_out_gga(s(s(T30)), 0, s(T32))
times1_in_gga(s(T33), 0, s(T36)) → U81_gga(T33, T36, mult25_in_ga(T33, T36))
U81_gga(T33, T36, mult25_out_ga(T33, T36)) → times1_out_gga(s(T33), 0, s(T36))
times1_in_gga(s(0), s(0), s(0)) → times1_out_gga(s(0), s(0), s(0))
times1_in_gga(s(s(0)), s(0), s(s(0))) → times1_out_gga(s(s(0)), s(0), s(s(0)))
times1_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U82_gga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(0, s(0)) → mult64_out_ga(0, s(0))
mult64_in_ga(s(T52), s(T54)) → U3_ga(T52, T54, mult64_in_ga(T52, T54))
mult64_in_ga(T55, s(s(T57))) → U4_ga(T55, T57, mult72_in_ga(T55, T57))
mult72_in_ga(0, 0) → mult72_out_ga(0, 0)
mult72_in_ga(s(T52), T54) → U5_ga(T52, T54, mult64_in_ga(T52, T54))
U5_ga(T52, T54, mult64_out_ga(T52, T54)) → mult72_out_ga(s(T52), T54)
mult72_in_ga(T55, s(T57)) → U6_ga(T55, T57, mult72_in_ga(T55, T57))
U6_ga(T55, T57, mult72_out_ga(T55, T57)) → mult72_out_ga(T55, s(T57))
U4_ga(T55, T57, mult72_out_ga(T55, T57)) → mult64_out_ga(T55, s(s(T57)))
U3_ga(T52, T54, mult64_out_ga(T52, T54)) → mult64_out_ga(s(T52), s(T54))
U82_gga(T52, T54, mult64_out_ga(T52, T54)) → times1_out_gga(s(s(s(T52))), s(0), s(s(T54)))
times1_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U83_gga(T55, T57, mult72_in_ga(T55, T57))
U83_gga(T55, T57, mult72_out_ga(T55, T57)) → times1_out_gga(s(s(T55)), s(0), s(s(s(T57))))
times1_in_gga(s(T58), s(0), s(s(T61))) → U84_gga(T58, T61, mult72_in_ga(T58, T61))
U84_gga(T58, T61, mult72_out_ga(T58, T61)) → times1_out_gga(s(T58), s(0), s(s(T61)))
times1_in_gga(s(0), s(s(0)), s(s(0))) → times1_out_gga(s(0), s(s(0)), s(s(0)))
times1_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → times1_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
times1_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U85_gga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(0, s(s(0))) → mult111_out_ga(0, s(s(0)))
mult111_in_ga(s(T80), s(s(T82))) → U7_ga(T80, T82, mult111_in_ga(T80, T82))
mult111_in_ga(T83, s(s(s(T85)))) → U8_ga(T83, T85, mult127_in_ga(T83, T85))
mult127_in_ga(0, 0) → mult127_out_ga(0, 0)
mult127_in_ga(s(T80), T82) → U9_ga(T80, T82, mult111_in_ga(T80, T82))
U9_ga(T80, T82, mult111_out_ga(T80, T82)) → mult127_out_ga(s(T80), T82)
mult127_in_ga(T83, s(T85)) → U10_ga(T83, T85, mult127_in_ga(T83, T85))
U10_ga(T83, T85, mult127_out_ga(T83, T85)) → mult127_out_ga(T83, s(T85))
U8_ga(T83, T85, mult127_out_ga(T83, T85)) → mult111_out_ga(T83, s(s(s(T85))))
U7_ga(T80, T82, mult111_out_ga(T80, T82)) → mult111_out_ga(s(T80), s(s(T82)))
U85_gga(T80, T82, mult111_out_ga(T80, T82)) → times1_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
times1_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U86_gga(T83, T85, mult127_in_ga(T83, T85))
U86_gga(T83, T85, mult127_out_ga(T83, T85)) → times1_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
times1_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U87_gga(T86, T89, mult127_in_ga(T86, T89))
U87_gga(T86, T89, mult127_out_ga(T86, T89)) → times1_out_gga(s(T86), s(s(0)), s(s(s(T89))))
times1_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → times1_out_gga(s(0), s(s(s(0))), s(s(s(0))))
times1_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U88_gga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(0, s(s(s(0)))) → mult166_out_ga(0, s(s(s(0))))
mult166_in_ga(s(T111), s(s(s(T113)))) → U11_ga(T111, T113, mult166_in_ga(T111, T113))
mult166_in_ga(T114, s(s(s(s(T116))))) → U12_ga(T114, T116, mult190_in_ga(T114, T116))
mult190_in_ga(0, 0) → mult190_out_ga(0, 0)
mult190_in_ga(s(T111), T113) → U13_ga(T111, T113, mult166_in_ga(T111, T113))
U13_ga(T111, T113, mult166_out_ga(T111, T113)) → mult190_out_ga(s(T111), T113)
mult190_in_ga(T114, s(T116)) → U14_ga(T114, T116, mult190_in_ga(T114, T116))
U14_ga(T114, T116, mult190_out_ga(T114, T116)) → mult190_out_ga(T114, s(T116))
U12_ga(T114, T116, mult190_out_ga(T114, T116)) → mult166_out_ga(T114, s(s(s(s(T116)))))
U11_ga(T111, T113, mult166_out_ga(T111, T113)) → mult166_out_ga(s(T111), s(s(s(T113))))
U88_gga(T111, T113, mult166_out_ga(T111, T113)) → times1_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
times1_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U89_gga(T114, T116, mult190_in_ga(T114, T116))
U89_gga(T114, T116, mult190_out_ga(T114, T116)) → times1_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
times1_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U90_gga(T117, T120, mult190_in_ga(T117, T120))
U90_gga(T117, T120, mult190_out_ga(T117, T120)) → times1_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
times1_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → times1_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
times1_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → times1_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
times1_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U91_gga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(0, s(s(s(s(0))))) → mult229_out_ga(0, s(s(s(s(0)))))
mult229_in_ga(s(T145), s(s(s(s(T147))))) → U15_ga(T145, T147, mult229_in_ga(T145, T147))
mult229_in_ga(T148, s(s(s(s(s(T150)))))) → U16_ga(T148, T150, mult261_in_ga(T148, T150))
mult261_in_ga(0, 0) → mult261_out_ga(0, 0)
mult261_in_ga(s(T145), T147) → U17_ga(T145, T147, mult229_in_ga(T145, T147))
U17_ga(T145, T147, mult229_out_ga(T145, T147)) → mult261_out_ga(s(T145), T147)
mult261_in_ga(T148, s(T150)) → U18_ga(T148, T150, mult261_in_ga(T148, T150))
U18_ga(T148, T150, mult261_out_ga(T148, T150)) → mult261_out_ga(T148, s(T150))
U16_ga(T148, T150, mult261_out_ga(T148, T150)) → mult229_out_ga(T148, s(s(s(s(s(T150))))))
U15_ga(T145, T147, mult229_out_ga(T145, T147)) → mult229_out_ga(s(T145), s(s(s(s(T147)))))
U91_gga(T145, T147, mult229_out_ga(T145, T147)) → times1_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
times1_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U92_gga(T148, T150, mult261_in_ga(T148, T150))
U92_gga(T148, T150, mult261_out_ga(T148, T150)) → times1_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
times1_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U93_gga(T151, T154, mult261_in_ga(T151, T154))
U93_gga(T151, T154, mult261_out_ga(T151, T154)) → times1_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
times1_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → times1_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
times1_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
times1_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U94_gga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(0, s(s(s(s(s(0)))))) → mult300_out_ga(0, s(s(s(s(s(0))))))
mult300_in_ga(s(T182), s(s(s(s(s(T184)))))) → U19_ga(T182, T184, mult300_in_ga(T182, T184))
mult300_in_ga(T185, s(s(s(s(s(s(T187))))))) → U20_ga(T185, T187, mult340_in_ga(T185, T187))
mult340_in_ga(0, 0) → mult340_out_ga(0, 0)
mult340_in_ga(s(T182), T184) → U21_ga(T182, T184, mult300_in_ga(T182, T184))
U21_ga(T182, T184, mult300_out_ga(T182, T184)) → mult340_out_ga(s(T182), T184)
mult340_in_ga(T185, s(T187)) → U22_ga(T185, T187, mult340_in_ga(T185, T187))
U22_ga(T185, T187, mult340_out_ga(T185, T187)) → mult340_out_ga(T185, s(T187))
U20_ga(T185, T187, mult340_out_ga(T185, T187)) → mult300_out_ga(T185, s(s(s(s(s(s(T187)))))))
U19_ga(T182, T184, mult300_out_ga(T182, T184)) → mult300_out_ga(s(T182), s(s(s(s(s(T184))))))
U94_gga(T182, T184, mult300_out_ga(T182, T184)) → times1_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
times1_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U95_gga(T185, T187, mult340_in_ga(T185, T187))
U95_gga(T185, T187, mult340_out_ga(T185, T187)) → times1_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
times1_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U96_gga(T188, T191, mult340_in_ga(T188, T191))
U96_gga(T188, T191, mult340_out_ga(T188, T191)) → times1_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
times1_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → times1_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
times1_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → times1_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
times1_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U97_gga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(0, s(s(s(s(s(s(0))))))) → mult379_out_ga(0, s(s(s(s(s(s(0)))))))
mult379_in_ga(s(T222), s(s(s(s(s(s(T224))))))) → U23_ga(T222, T224, mult379_in_ga(T222, T224))
mult379_in_ga(T225, s(s(s(s(s(s(s(T227)))))))) → U24_ga(T225, T227, mult427_in_ga(T225, T227))
mult427_in_ga(0, 0) → mult427_out_ga(0, 0)
mult427_in_ga(s(T222), T224) → U25_ga(T222, T224, mult379_in_ga(T222, T224))
U25_ga(T222, T224, mult379_out_ga(T222, T224)) → mult427_out_ga(s(T222), T224)
mult427_in_ga(T225, s(T227)) → U26_ga(T225, T227, mult427_in_ga(T225, T227))
U26_ga(T225, T227, mult427_out_ga(T225, T227)) → mult427_out_ga(T225, s(T227))
U24_ga(T225, T227, mult427_out_ga(T225, T227)) → mult379_out_ga(T225, s(s(s(s(s(s(s(T227))))))))
U23_ga(T222, T224, mult379_out_ga(T222, T224)) → mult379_out_ga(s(T222), s(s(s(s(s(s(T224)))))))
U97_gga(T222, T224, mult379_out_ga(T222, T224)) → times1_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
times1_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U98_gga(T225, T227, mult427_in_ga(T225, T227))
U98_gga(T225, T227, mult427_out_ga(T225, T227)) → times1_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
times1_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U99_gga(T228, T231, mult427_in_ga(T228, T231))
U99_gga(T228, T231, mult427_out_ga(T228, T231)) → times1_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
times1_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → times1_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
times1_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U100_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(0, 0, 0) → mult14_out_gaa(0, 0, 0)
mult14_in_gaa(s(0), 0, 0) → mult14_out_gaa(s(0), 0, 0)
mult14_in_gaa(s(s(T27)), 0, T29) → U27_gaa(T27, T29, mult25_in_ga(T27, T29))
U27_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult14_out_gaa(s(s(T27)), 0, T29)
mult14_in_gaa(s(T30), 0, s(T32)) → U28_gaa(T30, T32, mult25_in_ga(T30, T32))
U28_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult14_out_gaa(s(T30), 0, s(T32))
mult14_in_gaa(T33, 0, s(T36)) → U29_gaa(T33, T36, mult25_in_ga(T33, T36))
U29_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult14_out_gaa(T33, 0, s(T36))
mult14_in_gaa(0, s(0), s(0)) → mult14_out_gaa(0, s(0), s(0))
mult14_in_gaa(s(0), s(0), s(s(0))) → mult14_out_gaa(s(0), s(0), s(s(0)))
mult14_in_gaa(s(s(T52)), s(0), s(s(T54))) → U30_gaa(T52, T54, mult64_in_ga(T52, T54))
U30_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult14_out_gaa(s(s(T52)), s(0), s(s(T54)))
mult14_in_gaa(s(T55), s(0), s(s(s(T57)))) → U31_gaa(T55, T57, mult72_in_ga(T55, T57))
U31_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult14_out_gaa(s(T55), s(0), s(s(s(T57))))
mult14_in_gaa(T58, s(0), s(s(T61))) → U32_gaa(T58, T61, mult72_in_ga(T58, T61))
U32_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult14_out_gaa(T58, s(0), s(s(T61)))
mult14_in_gaa(0, s(s(0)), s(s(0))) → mult14_out_gaa(0, s(s(0)), s(s(0)))
mult14_in_gaa(s(0), s(s(0)), s(s(s(s(0))))) → mult14_out_gaa(s(0), s(s(0)), s(s(s(s(0)))))
mult14_in_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82))))) → U33_gaa(T80, T82, mult111_in_ga(T80, T82))
U33_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult14_out_gaa(s(s(T80)), s(s(0)), s(s(s(s(T82)))))
mult14_in_gaa(s(T83), s(s(0)), s(s(s(s(s(T85)))))) → U34_gaa(T83, T85, mult127_in_ga(T83, T85))
U34_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult14_out_gaa(s(T83), s(s(0)), s(s(s(s(s(T85))))))
mult14_in_gaa(T86, s(s(0)), s(s(s(T89)))) → U35_gaa(T86, T89, mult127_in_ga(T86, T89))
U35_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult14_out_gaa(T86, s(s(0)), s(s(s(T89))))
mult14_in_gaa(0, s(s(s(0))), s(s(s(0)))) → mult14_out_gaa(0, s(s(s(0))), s(s(s(0))))
mult14_in_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(s(0), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U36_gaa(T111, T113, mult166_in_ga(T111, T113))
U36_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult14_out_gaa(s(s(T111)), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult14_in_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U37_gaa(T114, T116, mult190_in_ga(T114, T116))
U37_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult14_out_gaa(s(T114), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult14_in_gaa(T117, s(s(s(0))), s(s(s(s(T120))))) → U38_gaa(T117, T120, mult190_in_ga(T117, T120))
U38_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult14_out_gaa(T117, s(s(s(0))), s(s(s(s(T120)))))
mult14_in_gaa(0, s(s(s(s(0)))), s(s(s(s(0))))) → mult14_out_gaa(0, s(s(s(s(0)))), s(s(s(s(0)))))
mult14_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult14_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult14_in_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U39_gaa(T145, T147, mult229_in_ga(T145, T147))
U39_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult14_out_gaa(s(s(T145)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult14_in_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U40_gaa(T148, T150, mult261_in_ga(T148, T150))
U40_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult14_out_gaa(s(T148), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult14_in_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U41_gaa(T151, T154, mult261_in_ga(T151, T154))
U41_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult14_out_gaa(T151, s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult14_in_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult14_out_gaa(0, s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult14_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult14_in_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U42_gaa(T182, T184, mult300_in_ga(T182, T184))
U42_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult14_out_gaa(s(s(T182)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult14_in_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U43_gaa(T185, T187, mult340_in_ga(T185, T187))
U43_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult14_out_gaa(s(T185), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult14_in_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U44_gaa(T188, T191, mult340_in_ga(T188, T191))
U44_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult14_out_gaa(T188, s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult14_in_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult14_out_gaa(0, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult14_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult14_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult14_in_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U45_gaa(T222, T224, mult379_in_ga(T222, T224))
U45_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult14_out_gaa(s(s(T222)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult14_in_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U46_gaa(T225, T227, mult427_in_ga(T225, T227))
U46_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult14_out_gaa(s(T225), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult14_in_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U47_gaa(T228, T231, mult427_in_ga(T228, T231))
U47_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult14_out_gaa(T228, s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult14_in_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult14_out_gaa(0, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult14_in_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U48_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U49_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(0, T8, 0) → mult3_out_gaa(0, T8, 0)
mult3_in_gaa(s(0), 0, 0) → mult3_out_gaa(s(0), 0, 0)
mult3_in_gaa(s(s(0)), 0, 0) → mult3_out_gaa(s(s(0)), 0, 0)
mult3_in_gaa(s(s(s(T27))), 0, T29) → U51_gaa(T27, T29, mult25_in_ga(T27, T29))
U51_gaa(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gaa(s(s(s(T27))), 0, T29)
mult3_in_gaa(s(s(T30)), 0, s(T32)) → U52_gaa(T30, T32, mult25_in_ga(T30, T32))
U52_gaa(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gaa(s(s(T30)), 0, s(T32))
mult3_in_gaa(s(T33), 0, s(T36)) → U53_gaa(T33, T36, mult25_in_ga(T33, T36))
U53_gaa(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gaa(s(T33), 0, s(T36))
mult3_in_gaa(s(0), s(0), s(0)) → mult3_out_gaa(s(0), s(0), s(0))
mult3_in_gaa(s(s(0)), s(0), s(s(0))) → mult3_out_gaa(s(s(0)), s(0), s(s(0)))
mult3_in_gaa(s(s(s(T52))), s(0), s(s(T54))) → U54_gaa(T52, T54, mult64_in_ga(T52, T54))
U54_gaa(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gaa(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gaa(s(s(T55)), s(0), s(s(s(T57)))) → U55_gaa(T55, T57, mult72_in_ga(T55, T57))
U55_gaa(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gaa(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gaa(s(T58), s(0), s(s(T61))) → U56_gaa(T58, T61, mult72_in_ga(T58, T61))
U56_gaa(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gaa(s(T58), s(0), s(s(T61)))
mult3_in_gaa(s(0), s(s(0)), s(s(0))) → mult3_out_gaa(s(0), s(s(0)), s(s(0)))
mult3_in_gaa(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gaa(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gaa(T80, T82, mult111_in_ga(T80, T82))
U57_gaa(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gaa(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gaa(T83, T85, mult127_in_ga(T83, T85))
U58_gaa(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gaa(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gaa(s(T86), s(s(0)), s(s(s(T89)))) → U59_gaa(T86, T89, mult127_in_ga(T86, T89))
U59_gaa(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gaa(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gaa(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gaa(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gaa(T111, T113, mult166_in_ga(T111, T113))
U60_gaa(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gaa(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gaa(T114, T116, mult190_in_ga(T114, T116))
U61_gaa(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gaa(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gaa(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gaa(T117, T120, mult190_in_ga(T117, T120))
U62_gaa(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gaa(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gaa(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gaa(T145, T147, mult229_in_ga(T145, T147))
U63_gaa(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gaa(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gaa(T148, T150, mult261_in_ga(T148, T150))
U64_gaa(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gaa(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gaa(T151, T154, mult261_in_ga(T151, T154))
U65_gaa(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gaa(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gaa(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gaa(T182, T184, mult300_in_ga(T182, T184))
U66_gaa(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gaa(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gaa(T185, T187, mult340_in_ga(T185, T187))
U67_gaa(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gaa(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gaa(T188, T191, mult340_in_ga(T188, T191))
U68_gaa(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gaa(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gaa(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gaa(T222, T224, mult379_in_ga(T222, T224))
U69_gaa(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gaa(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gaa(T225, T227, mult427_in_ga(T225, T227))
U70_gaa(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gaa(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gaa(T228, T231, mult427_in_ga(T228, T231))
U71_gaa(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gaa(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gaa(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gaa(T238, T241, mult14_in_gaa(T238, s(T239), T241))
mult14_in_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U50_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(0, T234, 0, 0) → mult456_out_gaaa(0, T234, 0, 0)
mult456_in_gaaa(s(T238), T239, 0, T241) → U76_gaaa(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaaa(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaaa(s(T238), T239, 0, T241)
mult456_in_gaaa(T242, T243, 0, s(T246)) → U77_gaaa(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gaa(T242, T246, mult3_in_gaa(T242, s(T243), T246))
mult3_in_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gaa(T242, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
mult456_in_gaaa(T242, T243, s(T247), s(T246)) → U78_gaaa(T242, T243, T247, T246, mult456_in_gaaa(T242, T243, T247, T246))
U78_gaaa(T242, T243, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult456_out_gaaa(T242, T243, s(T247), s(T246))
U74_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gaa(T248, T249, s(T251)) → U75_gaa(T248, T249, T251, mult3_in_gaa(T248, T249, T251))
U75_gaa(T248, T249, T251, mult3_out_gaa(T248, T249, T251)) → mult3_out_gaa(T248, T249, s(T251))
U73_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gaa(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U77_gaaa(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaaa(T242, T243, 0, s(T246))
U50_gaa(T242, T247, T246, mult456_out_gaaa(T242, T243, T247, T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
U72_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gaa(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U49_gaa(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult14_out_gaa(T242, s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
U48_gaa(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult14_out_gaa(s(T238), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
U100_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → times1_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U101_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U101_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U102_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
mult456_in_gaga(0, T234, 0, 0) → mult456_out_gaga(0, T234, 0, 0)
mult456_in_gaga(s(T238), T239, 0, T241) → U76_gaga(T238, T239, T241, mult14_in_gaa(T238, s(T239), T241))
U76_gaga(T238, T239, T241, mult14_out_gaa(T238, s(T239), T241)) → mult456_out_gaga(s(T238), T239, 0, T241)
mult456_in_gaga(T242, T243, 0, s(T246)) → U77_gaga(T242, T243, T246, mult3_in_gaa(T242, s(T243), T246))
U77_gaga(T242, T243, T246, mult3_out_gaa(T242, s(T243), T246)) → mult456_out_gaga(T242, T243, 0, s(T246))
mult456_in_gaga(T242, T243, s(T247), s(T246)) → U78_gaga(T242, T243, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U78_gaga(T242, T243, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult456_out_gaga(T242, T243, s(T247), s(T246))
U102_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → times1_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
times1_in_gga(T248, T249, s(T251)) → U103_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
mult3_in_gga(0, T8, 0) → mult3_out_gga(0, T8, 0)
mult3_in_gga(s(0), 0, 0) → mult3_out_gga(s(0), 0, 0)
mult3_in_gga(s(s(0)), 0, 0) → mult3_out_gga(s(s(0)), 0, 0)
mult3_in_gga(s(s(s(T27))), 0, T29) → U51_gga(T27, T29, mult25_in_ga(T27, T29))
U51_gga(T27, T29, mult25_out_ga(T27, T29)) → mult3_out_gga(s(s(s(T27))), 0, T29)
mult3_in_gga(s(s(T30)), 0, s(T32)) → U52_gga(T30, T32, mult25_in_ga(T30, T32))
U52_gga(T30, T32, mult25_out_ga(T30, T32)) → mult3_out_gga(s(s(T30)), 0, s(T32))
mult3_in_gga(s(T33), 0, s(T36)) → U53_gga(T33, T36, mult25_in_ga(T33, T36))
U53_gga(T33, T36, mult25_out_ga(T33, T36)) → mult3_out_gga(s(T33), 0, s(T36))
mult3_in_gga(s(0), s(0), s(0)) → mult3_out_gga(s(0), s(0), s(0))
mult3_in_gga(s(s(0)), s(0), s(s(0))) → mult3_out_gga(s(s(0)), s(0), s(s(0)))
mult3_in_gga(s(s(s(T52))), s(0), s(s(T54))) → U54_gga(T52, T54, mult64_in_ga(T52, T54))
U54_gga(T52, T54, mult64_out_ga(T52, T54)) → mult3_out_gga(s(s(s(T52))), s(0), s(s(T54)))
mult3_in_gga(s(s(T55)), s(0), s(s(s(T57)))) → U55_gga(T55, T57, mult72_in_ga(T55, T57))
U55_gga(T55, T57, mult72_out_ga(T55, T57)) → mult3_out_gga(s(s(T55)), s(0), s(s(s(T57))))
mult3_in_gga(s(T58), s(0), s(s(T61))) → U56_gga(T58, T61, mult72_in_ga(T58, T61))
U56_gga(T58, T61, mult72_out_ga(T58, T61)) → mult3_out_gga(s(T58), s(0), s(s(T61)))
mult3_in_gga(s(0), s(s(0)), s(s(0))) → mult3_out_gga(s(0), s(s(0)), s(s(0)))
mult3_in_gga(s(s(0)), s(s(0)), s(s(s(s(0))))) → mult3_out_gga(s(s(0)), s(s(0)), s(s(s(s(0)))))
mult3_in_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82))))) → U57_gga(T80, T82, mult111_in_ga(T80, T82))
U57_gga(T80, T82, mult111_out_ga(T80, T82)) → mult3_out_gga(s(s(s(T80))), s(s(0)), s(s(s(s(T82)))))
mult3_in_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85)))))) → U58_gga(T83, T85, mult127_in_ga(T83, T85))
U58_gga(T83, T85, mult127_out_ga(T83, T85)) → mult3_out_gga(s(s(T83)), s(s(0)), s(s(s(s(s(T85))))))
mult3_in_gga(s(T86), s(s(0)), s(s(s(T89)))) → U59_gga(T86, T89, mult127_in_ga(T86, T89))
U59_gga(T86, T89, mult127_out_ga(T86, T89)) → mult3_out_gga(s(T86), s(s(0)), s(s(s(T89))))
mult3_in_gga(s(0), s(s(s(0))), s(s(s(0)))) → mult3_out_gga(s(0), s(s(s(0))), s(s(s(0))))
mult3_in_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(s(0)), s(s(s(0))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113))))))) → U60_gga(T111, T113, mult166_in_ga(T111, T113))
U60_gga(T111, T113, mult166_out_ga(T111, T113)) → mult3_out_gga(s(s(s(T111))), s(s(s(0))), s(s(s(s(s(s(T113)))))))
mult3_in_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116)))))))) → U61_gga(T114, T116, mult190_in_ga(T114, T116))
U61_gga(T114, T116, mult190_out_ga(T114, T116)) → mult3_out_gga(s(s(T114)), s(s(s(0))), s(s(s(s(s(s(s(T116))))))))
mult3_in_gga(s(T117), s(s(s(0))), s(s(s(s(T120))))) → U62_gga(T117, T120, mult190_in_ga(T117, T120))
U62_gga(T117, T120, mult190_out_ga(T117, T120)) → mult3_out_gga(s(T117), s(s(s(0))), s(s(s(s(T120)))))
mult3_in_gga(s(0), s(s(s(s(0)))), s(s(s(s(0))))) → mult3_out_gga(s(0), s(s(s(s(0)))), s(s(s(s(0)))))
mult3_in_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(0)))))))))
mult3_in_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147))))))))) → U63_gga(T145, T147, mult229_in_ga(T145, T147))
U63_gga(T145, T147, mult229_out_ga(T145, T147)) → mult3_out_gga(s(s(s(T145))), s(s(s(s(0)))), s(s(s(s(s(s(s(s(T147)))))))))
mult3_in_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150)))))))))) → U64_gga(T148, T150, mult261_in_ga(T148, T150))
U64_gga(T148, T150, mult261_out_ga(T148, T150)) → mult3_out_gga(s(s(T148)), s(s(s(s(0)))), s(s(s(s(s(s(s(s(s(T150))))))))))
mult3_in_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154)))))) → U65_gga(T151, T154, mult261_in_ga(T151, T154))
U65_gga(T151, T154, mult261_out_ga(T151, T154)) → mult3_out_gga(s(T151), s(s(s(s(0)))), s(s(s(s(s(T154))))))
mult3_in_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0)))))) → mult3_out_gga(s(0), s(s(s(s(s(0))))), s(s(s(s(s(0))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(0)))))))))))
mult3_in_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184))))))))))) → U66_gga(T182, T184, mult300_in_ga(T182, T184))
U66_gga(T182, T184, mult300_out_ga(T182, T184)) → mult3_out_gga(s(s(s(T182))), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(T184)))))))))))
mult3_in_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187)))))))))))) → U67_gga(T185, T187, mult340_in_ga(T185, T187))
U67_gga(T185, T187, mult340_out_ga(T185, T187)) → mult3_out_gga(s(s(T185)), s(s(s(s(s(0))))), s(s(s(s(s(s(s(s(s(s(s(T187))))))))))))
mult3_in_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191))))))) → U68_gga(T188, T191, mult340_in_ga(T188, T191))
U68_gga(T188, T191, mult340_out_ga(T188, T191)) → mult3_out_gga(s(T188), s(s(s(s(s(0))))), s(s(s(s(s(s(T191)))))))
mult3_in_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(0)))))))
mult3_in_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0))))))))))))) → mult3_out_gga(s(s(0)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(0)))))))))))))
mult3_in_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224))))))))))))) → U69_gga(T222, T224, mult379_in_ga(T222, T224))
U69_gga(T222, T224, mult379_out_ga(T222, T224)) → mult3_out_gga(s(s(s(T222))), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(T224)))))))))))))
mult3_in_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227)))))))))))))) → U70_gga(T225, T227, mult427_in_ga(T225, T227))
U70_gga(T225, T227, mult427_out_ga(T225, T227)) → mult3_out_gga(s(s(T225)), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(s(s(s(s(s(s(T227))))))))))))))
mult3_in_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231)))))))) → U71_gga(T228, T231, mult427_in_ga(T228, T231))
U71_gga(T228, T231, mult427_out_ga(T228, T231)) → mult3_out_gga(s(T228), s(s(s(s(s(s(0)))))), s(s(s(s(s(s(s(T231))))))))
mult3_in_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0)))))))) → mult3_out_gga(s(0), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(0))))))))
mult3_in_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241)))))))) → U72_gga(T238, T241, mult14_in_gaa(T238, s(T239), T241))
U72_gga(T238, T241, mult14_out_gaa(T238, s(T239), T241)) → mult3_out_gga(s(s(T238)), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(T241))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246))))))))) → U73_gga(T242, T246, mult3_in_gaa(T242, s(T243), T246))
U73_gga(T242, T246, mult3_out_gaa(T242, s(T243), T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(0))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246))))))))) → U74_gga(T242, T247, T246, mult456_in_gaga(T242, T243, T247, T246))
U74_gga(T242, T247, T246, mult456_out_gaga(T242, T243, T247, T246)) → mult3_out_gga(s(T242), s(s(s(s(s(s(s(s(T247)))))))), s(s(s(s(s(s(s(s(T246)))))))))
mult3_in_gga(T248, T249, s(T251)) → U75_gga(T248, T249, T251, mult3_in_gga(T248, T249, T251))
U75_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → mult3_out_gga(T248, T249, s(T251))
U103_gga(T248, T249, T251, mult3_out_gga(T248, T249, T251)) → times1_out_gga(T248, T249, s(T251))

The argument filtering Pi contains the following mapping:
times1_in_gga(x1, x2, x3)  =  times1_in_gga(x1, x2)
0  =  0
times1_out_gga(x1, x2, x3)  =  times1_out_gga(x1, x2, x3)
s(x1)  =  s(x1)
U79_gga(x1, x2, x3)  =  U79_gga(x1, x3)
mult25_in_ga(x1, x2)  =  mult25_in_ga(x1)
mult25_out_ga(x1, x2)  =  mult25_out_ga(x1, x2)
U1_ga(x1, x2, x3)  =  U1_ga(x1, x3)
U2_ga(x1, x2, x3)  =  U2_ga(x1, x3)
U80_gga(x1, x2, x3)  =  U80_gga(x1, x3)
U81_gga(x1, x2, x3)  =  U81_gga(x1, x3)
U82_gga(x1, x2, x3)  =  U82_gga(x1, x3)
mult64_in_ga(x1, x2)  =  mult64_in_ga(x1)
mult64_out_ga(x1, x2)  =  mult64_out_ga(x1, x2)
U3_ga(x1, x2, x3)  =  U3_ga(x1, x3)
U4_ga(x1, x2, x3)  =  U4_ga(x1, x3)
mult72_in_ga(x1, x2)  =  mult72_in_ga(x1)
mult72_out_ga(x1, x2)  =  mult72_out_ga(x1, x2)
U5_ga(x1, x2, x3)  =  U5_ga(x1, x3)
U6_ga(x1, x2, x3)  =  U6_ga(x1, x3)
U83_gga(x1, x2, x3)  =  U83_gga(x1, x3)
U84_gga(x1, x2, x3)  =  U84_gga(x1, x3)
U85_gga(x1, x2, x3)  =  U85_gga(x1, x3)
mult111_in_ga(x1, x2)  =  mult111_in_ga(x1)
mult111_out_ga(x1, x2)  =  mult111_out_ga(x1, x2)
U7_ga(x1, x2, x3)  =  U7_ga(x1, x3)
U8_ga(x1, x2, x3)  =  U8_ga(x1, x3)
mult127_in_ga(x1, x2)  =  mult127_in_ga(x1)
mult127_out_ga(x1, x2)  =  mult127_out_ga(x1, x2)
U9_ga(x1, x2, x3)  =  U9_ga(x1, x3)
U10_ga(x1, x2, x3)  =  U10_ga(x1, x3)
U86_gga(x1, x2, x3)  =  U86_gga(x1, x3)
U87_gga(x1, x2, x3)  =  U87_gga(x1, x3)
U88_gga(x1, x2, x3)  =  U88_gga(x1, x3)
mult166_in_ga(x1, x2)  =  mult166_in_ga(x1)
mult166_out_ga(x1, x2)  =  mult166_out_ga(x1, x2)
U11_ga(x1, x2, x3)  =  U11_ga(x1, x3)
U12_ga(x1, x2, x3)  =  U12_ga(x1, x3)
mult190_in_ga(x1, x2)  =  mult190_in_ga(x1)
mult190_out_ga(x1, x2)  =  mult190_out_ga(x1, x2)
U13_ga(x1, x2, x3)  =  U13_ga(x1, x3)
U14_ga(x1, x2, x3)  =  U14_ga(x1, x3)
U89_gga(x1, x2, x3)  =  U89_gga(x1, x3)
U90_gga(x1, x2, x3)  =  U90_gga(x1, x3)
U91_gga(x1, x2, x3)  =  U91_gga(x1, x3)
mult229_in_ga(x1, x2)  =  mult229_in_ga(x1)
mult229_out_ga(x1, x2)  =  mult229_out_ga(x1, x2)
U15_ga(x1, x2, x3)  =  U15_ga(x1, x3)
U16_ga(x1, x2, x3)  =  U16_ga(x1, x3)
mult261_in_ga(x1, x2)  =  mult261_in_ga(x1)
mult261_out_ga(x1, x2)  =  mult261_out_ga(x1, x2)
U17_ga(x1, x2, x3)  =  U17_ga(x1, x3)
U18_ga(x1, x2, x3)  =  U18_ga(x1, x3)
U92_gga(x1, x2, x3)  =  U92_gga(x1, x3)
U93_gga(x1, x2, x3)  =  U93_gga(x1, x3)
U94_gga(x1, x2, x3)  =  U94_gga(x1, x3)
mult300_in_ga(x1, x2)  =  mult300_in_ga(x1)
mult300_out_ga(x1, x2)  =  mult300_out_ga(x1, x2)
U19_ga(x1, x2, x3)  =  U19_ga(x1, x3)
U20_ga(x1, x2, x3)  =  U20_ga(x1, x3)
mult340_in_ga(x1, x2)  =  mult340_in_ga(x1)
mult340_out_ga(x1, x2)  =  mult340_out_ga(x1, x2)
U21_ga(x1, x2, x3)  =  U21_ga(x1, x3)
U22_ga(x1, x2, x3)  =  U22_ga(x1, x3)
U95_gga(x1, x2, x3)  =  U95_gga(x1, x3)
U96_gga(x1, x2, x3)  =  U96_gga(x1, x3)
U97_gga(x1, x2, x3)  =  U97_gga(x1, x3)
mult379_in_ga(x1, x2)  =  mult379_in_ga(x1)
mult379_out_ga(x1, x2)  =  mult379_out_ga(x1, x2)
U23_ga(x1, x2, x3)  =  U23_ga(x1, x3)
U24_ga(x1, x2, x3)  =  U24_ga(x1, x3)
mult427_in_ga(x1, x2)  =  mult427_in_ga(x1)
mult427_out_ga(x1, x2)  =  mult427_out_ga(x1, x2)
U25_ga(x1, x2, x3)  =  U25_ga(x1, x3)
U26_ga(x1, x2, x3)  =  U26_ga(x1, x3)
U98_gga(x1, x2, x3)  =  U98_gga(x1, x3)
U99_gga(x1, x2, x3)  =  U99_gga(x1, x3)
U100_gga(x1, x2, x3)  =  U100_gga(x1, x3)
mult14_in_gaa(x1, x2, x3)  =  mult14_in_gaa(x1)
mult14_out_gaa(x1, x2, x3)  =  mult14_out_gaa(x1, x2, x3)
U27_gaa(x1, x2, x3)  =  U27_gaa(x1, x3)
U28_gaa(x1, x2, x3)  =  U28_gaa(x1, x3)
U29_gaa(x1, x2, x3)  =  U29_gaa(x1, x3)
U30_gaa(x1, x2, x3)  =  U30_gaa(x1, x3)
U31_gaa(x1, x2, x3)  =  U31_gaa(x1, x3)
U32_gaa(x1, x2, x3)  =  U32_gaa(x1, x3)
U33_gaa(x1, x2, x3)  =  U33_gaa(x1, x3)
U34_gaa(x1, x2, x3)  =  U34_gaa(x1, x3)
U35_gaa(x1, x2, x3)  =  U35_gaa(x1, x3)
U36_gaa(x1, x2, x3)  =  U36_gaa(x1, x3)
U37_gaa(x1, x2, x3)  =  U37_gaa(x1, x3)
U38_gaa(x1, x2, x3)  =  U38_gaa(x1, x3)
U39_gaa(x1, x2, x3)  =  U39_gaa(x1, x3)
U40_gaa(x1, x2, x3)  =  U40_gaa(x1, x3)
U41_gaa(x1, x2, x3)  =  U41_gaa(x1, x3)
U42_gaa(x1, x2, x3)  =  U42_gaa(x1, x3)
U43_gaa(x1, x2, x3)  =  U43_gaa(x1, x3)
U44_gaa(x1, x2, x3)  =  U44_gaa(x1, x3)
U45_gaa(x1, x2, x3)  =  U45_gaa(x1, x3)
U46_gaa(x1, x2, x3)  =  U46_gaa(x1, x3)
U47_gaa(x1, x2, x3)  =  U47_gaa(x1, x3)
U48_gaa(x1, x2, x3)  =  U48_gaa(x1, x3)
U49_gaa(x1, x2, x3)  =  U49_gaa(x1, x3)
mult3_in_gaa(x1, x2, x3)  =  mult3_in_gaa(x1)
mult3_out_gaa(x1, x2, x3)  =  mult3_out_gaa(x1, x3)
U51_gaa(x1, x2, x3)  =  U51_gaa(x1, x3)
U52_gaa(x1, x2, x3)  =  U52_gaa(x1, x3)
U53_gaa(x1, x2, x3)  =  U53_gaa(x1, x3)
U54_gaa(x1, x2, x3)  =  U54_gaa(x1, x3)
U55_gaa(x1, x2, x3)  =  U55_gaa(x1, x3)
U56_gaa(x1, x2, x3)  =  U56_gaa(x1, x3)
U57_gaa(x1, x2, x3)  =  U57_gaa(x1, x3)
U58_gaa(x1, x2, x3)  =  U58_gaa(x1, x3)
U59_gaa(x1, x2, x3)  =  U59_gaa(x1, x3)
U60_gaa(x1, x2, x3)  =  U60_gaa(x1, x3)
U61_gaa(x1, x2, x3)  =  U61_gaa(x1, x3)
U62_gaa(x1, x2, x3)  =  U62_gaa(x1, x3)
U63_gaa(x1, x2, x3)  =  U63_gaa(x1, x3)
U64_gaa(x1, x2, x3)  =  U64_gaa(x1, x3)
U65_gaa(x1, x2, x3)  =  U65_gaa(x1, x3)
U66_gaa(x1, x2, x3)  =  U66_gaa(x1, x3)
U67_gaa(x1, x2, x3)  =  U67_gaa(x1, x3)
U68_gaa(x1, x2, x3)  =  U68_gaa(x1, x3)
U69_gaa(x1, x2, x3)  =  U69_gaa(x1, x3)
U70_gaa(x1, x2, x3)  =  U70_gaa(x1, x3)
U71_gaa(x1, x2, x3)  =  U71_gaa(x1, x3)
U72_gaa(x1, x2, x3)  =  U72_gaa(x1, x3)
U50_gaa(x1, x2, x3, x4)  =  U50_gaa(x1, x4)
mult456_in_gaaa(x1, x2, x3, x4)  =  mult456_in_gaaa(x1)
mult456_out_gaaa(x1, x2, x3, x4)  =  mult456_out_gaaa(x1, x3, x4)
U76_gaaa(x1, x2, x3, x4)  =  U76_gaaa(x1, x4)
U77_gaaa(x1, x2, x3, x4)  =  U77_gaaa(x1, x4)
U73_gaa(x1, x2, x3)  =  U73_gaa(x1, x3)
U74_gaa(x1, x2, x3, x4)  =  U74_gaa(x1, x4)
U78_gaaa(x1, x2, x3, x4, x5)  =  U78_gaaa(x1, x5)
U75_gaa(x1, x2, x3, x4)  =  U75_gaa(x1, x4)
U101_gga(x1, x2, x3)  =  U101_gga(x1, x3)
U102_gga(x1, x2, x3, x4)  =  U102_gga(x1, x2, x4)
mult456_in_gaga(x1, x2, x3, x4)  =  mult456_in_gaga(x1, x3)
mult456_out_gaga(x1, x2, x3, x4)  =  mult456_out_gaga(x1, x3, x4)
U76_gaga(x1, x2, x3, x4)  =  U76_gaga(x1, x4)
U77_gaga(x1, x2, x3, x4)  =  U77_gaga(x1, x4)
U78_gaga(x1, x2, x3, x4, x5)  =  U78_gaga(x1, x3, x5)
U103_gga(x1, x2, x3, x4)  =  U103_gga(x1, x2, x4)
mult3_in_gga(x1, x2, x3)  =  mult3_in_gga(x1, x2)
mult3_out_gga(x1, x2, x3)  =  mult3_out_gga(x1, x2, x3)
U51_gga(x1, x2, x3)  =  U51_gga(x1, x3)
U52_gga(x1, x2, x3)  =  U52_gga(x1, x3)
U53_gga(x1, x2, x3)  =  U53_gga(x1, x3)
U54_gga(x1, x2, x3)  =  U54_gga(x1, x3)
U55_gga(x1, x2, x3)  =  U55_gga(x1, x3)
U56_gga(x1, x2, x3)  =  U56_gga(x1, x3)
U57_gga(x1, x2, x3)  =  U57_gga(x1, x3)
U58_gga(x1, x2, x3)  =  U58_gga(x1, x3)
U59_gga(x1, x2, x3)  =  U59_gga(x1, x3)
U60_gga(x1, x2, x3)  =  U60_gga(x1, x3)
U61_gga(x1, x2, x3)  =  U61_gga(x1, x3)
U62_gga(x1, x2, x3)  =  U62_gga(x1, x3)
U63_gga(x1, x2, x3)  =  U63_gga(x1, x3)
U64_gga(x1, x2, x3)  =  U64_gga(x1, x3)
U65_gga(x1, x2, x3)  =  U65_gga(x1, x3)
U66_gga(x1, x2, x3)  =  U66_gga(x1, x3)
U67_gga(x1, x2, x3)  =  U67_gga(x1, x3)
U68_gga(x1, x2, x3)  =  U68_gga(x1, x3)
U69_gga(x1, x2, x3)  =  U69_gga(x1, x3)
U70_gga(x1, x2, x3)  =  U70_gga(x1, x3)
U71_gga(x1, x2, x3)  =  U71_gga(x1, x3)
U72_gga(x1, x2, x3)  =  U72_gga(x1, x3)
U73_gga(x1, x2, x3)  =  U73_gga(x1, x3)
U74_gga(x1, x2, x3, x4)  =  U74_gga(x1, x2, x4)
U75_gga(x1, x2, x3, x4)  =  U75_gga(x1, x2, x4)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)

We have to consider all (P,R,Pi)-chains

(193) UsableRulesProof (EQUIVALENT transformation)

For (infinitary) constructor rewriting [LOPSTR] we can delete all non-usable rules from R.

(194) Obligation:

Pi DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249, s(T251)) → MULT3_IN_GGA(T248, T249, T251)

R is empty.
The argument filtering Pi contains the following mapping:
s(x1)  =  s(x1)
MULT3_IN_GGA(x1, x2, x3)  =  MULT3_IN_GGA(x1, x2)

We have to consider all (P,R,Pi)-chains

(195) PiDPToQDPProof (SOUND transformation)

Transforming (infinitary) constructor rewriting Pi-DP problem [LOPSTR] into ordinary QDP problem [LPAR04] by application of Pi.

(196) Obligation:

Q DP problem:
The TRS P consists of the following rules:

MULT3_IN_GGA(T248, T249) → MULT3_IN_GGA(T248, T249)

R is empty.
Q is empty.
We have to consider all (P,Q,R)-chains.

(197) NonTerminationProof (EQUIVALENT transformation)

We used the non-termination processor [FROCOS05] to show that the DP problem is infinite.
Found a loop by semiunifying a rule from P directly.

s = MULT3_IN_GGA(T248, T249) evaluates to t =MULT3_IN_GGA(T248, T249)

Thus s starts an infinite chain as s semiunifies with t with the following substitutions:
  • Matcher: [ ]
  • Semiunifier: [ ]




Rewriting sequence

The DP semiunifies directly so there is only one rewrite step from MULT3_IN_GGA(T248, T249) to MULT3_IN_GGA(T248, T249).



(198) FALSE